From 5be43c6f8de1d2cf9d0afc3a1a00ac1f0e365b8b Mon Sep 17 00:00:00 2001 From: Joakim Eriksson Date: Mon, 20 Oct 2014 16:15:20 +0200 Subject: [PATCH 01/10] moved ETX storage variable from RPL to ds6-nbr --- core/net/ipv6/uip-ds6-nbr.h | 1 + core/net/rpl/rpl-dag.c | 33 +++++++++++++++++++++++++++++---- core/net/rpl/rpl-mrhof.c | 32 ++++++++++++++++++++++++-------- core/net/rpl/rpl-of0.c | 20 ++++++++++++++------ core/net/rpl/rpl.h | 3 +-- 5 files changed, 69 insertions(+), 20 deletions(-) diff --git a/core/net/ipv6/uip-ds6-nbr.h b/core/net/ipv6/uip-ds6-nbr.h index 5627f03aa..0c9b29128 100644 --- a/core/net/ipv6/uip-ds6-nbr.h +++ b/core/net/ipv6/uip-ds6-nbr.h @@ -74,6 +74,7 @@ typedef struct uip_ds6_nbr { uint8_t nscount; uint8_t isrouter; uint8_t state; + uint16_t link_metric; #if UIP_CONF_IPV6_QUEUE_PKT struct uip_packetqueue_handle packethandle; #define UIP_DS6_NBR_PACKET_LIFETIME CLOCK_SECOND * 4 diff --git a/core/net/rpl/rpl-dag.c b/core/net/rpl/rpl-dag.c index 53ba956fa..33961e8c1 100644 --- a/core/net/rpl/rpl-dag.c +++ b/core/net/rpl/rpl-dag.c @@ -47,6 +47,7 @@ #include "net/rpl/rpl-private.h" #include "net/ip/uip.h" #include "net/ipv6/uip-nd6.h" +#include "net/ipv6/uip-ds6-nbr.h" #include "net/nbr-table.h" #include "net/ipv6/multicast/uip-mcast6.h" #include "lib/list.h" @@ -79,7 +80,22 @@ NBR_TABLE(rpl_parent_t, rpl_parents); /* Allocate instance table. */ rpl_instance_t instance_table[RPL_MAX_INSTANCES]; rpl_instance_t *default_instance; + /*---------------------------------------------------------------------------*/ +uip_ds6_nbr_t * +rpl_get_nbr(rpl_parent_t *parent) { + linkaddr_t *lladdr = NULL; + lladdr = nbr_table_get_lladdr(rpl_parents, parent); + if(lladdr != NULL) { + return nbr_table_get_from_lladdr(ds6_neighbors, lladdr); + } else { + /* do nothing... can not update ETX Since there is no nbr struct */ + return NULL; + } +} + +/*---------------------------------------------------------------------------*/ + static void nbr_callback(void *ptr) { @@ -113,9 +129,11 @@ rpl_get_parent_rank(uip_lladdr_t *addr) uint16_t rpl_get_parent_link_metric(const uip_lladdr_t *addr) { - rpl_parent_t *p = nbr_table_get_from_lladdr(rpl_parents, (const linkaddr_t *)addr); - if(p != NULL) { - return p->link_metric; + uip_ds6_nbr_t *nbr; + nbr = nbr_table_get_from_lladdr(ds6_neighbors, (const linkaddr_t *)addr); + + if(nbr != NULL) { + return nbr->link_metric; } else { return 0; } @@ -567,10 +585,17 @@ rpl_add_parent(rpl_dag_t *dag, rpl_dio_t *dio, uip_ipaddr_t *addr) if(p == NULL) { PRINTF("RPL: rpl_add_parent p NULL\n"); } else { + uip_ds6_nbr_t *nbr; + nbr = rpl_get_nbr(p); + p->dag = dag; p->rank = dio->rank; p->dtsn = dio->dtsn; - p->link_metric = RPL_INIT_LINK_METRIC * RPL_DAG_MC_ETX_DIVISOR; + + /* check if we have a nbr and if we have no prev link_metric value */ + if(nbr != NULL && nbr->link_metric == 0) { + nbr->link_metric = RPL_INIT_LINK_METRIC * RPL_DAG_MC_ETX_DIVISOR; + } #if RPL_DAG_MC != RPL_DAG_MC_NONE memcpy(&p->mc, &dio->mc, sizeof(p->mc)); #endif /* RPL_DAG_MC != RPL_DAG_MC_NONE */ diff --git a/core/net/rpl/rpl-mrhof.c b/core/net/rpl/rpl-mrhof.c index 2d4c2109e..4437e5e30 100644 --- a/core/net/rpl/rpl-mrhof.c +++ b/core/net/rpl/rpl-mrhof.c @@ -90,16 +90,22 @@ typedef uint16_t rpl_path_metric_t; static rpl_path_metric_t calculate_path_metric(rpl_parent_t *p) { + uip_ds6_nbr_t *nbr; if(p == NULL) { return MAX_PATH_COST * RPL_DAG_MC_ETX_DIVISOR; } - + nbr = rpl_get_nbr(p); + if(nbr == NULL) { + return MAX_PATH_COST * RPL_DAG_MC_ETX_DIVISOR; + } #if RPL_DAG_MC == RPL_DAG_MC_NONE - return p->rank + (uint16_t)p->link_metric; + { + return p->rank + (uint16_t)nbr->link_metric; + } #elif RPL_DAG_MC == RPL_DAG_MC_ETX - return p->mc.obj.etx + (uint16_t)p->link_metric; + return p->mc.obj.etx + (uint16_t)nbr->link_metric; #elif RPL_DAG_MC == RPL_DAG_MC_ENERGY - return p->mc.obj.energy.energy_est + (uint16_t)p->link_metric; + return p->mc.obj.energy.energy_est + (uint16_t)nbr->link_metric; #else #error "Unsupported RPL_DAG_MC configured. See rpl.h." #endif /* RPL_DAG_MC */ @@ -114,9 +120,17 @@ reset(rpl_dag_t *dag) static void neighbor_link_callback(rpl_parent_t *p, int status, int numtx) { - uint16_t recorded_etx = p->link_metric; + uint16_t recorded_etx = 0; uint16_t packet_etx = numtx * RPL_DAG_MC_ETX_DIVISOR; uint16_t new_etx; + uip_ds6_nbr_t *nbr = NULL; + + nbr = rpl_get_nbr(p); + if(nbr == NULL) { + /* No neighbor for this parent - something bad has occured!??? */ + return; + } + recorded_etx = nbr->link_metric; /* Do not penalize the ETX when collisions or transmission errors occur. */ if(status == MAC_TX_OK || status == MAC_TX_NOACK) { @@ -139,7 +153,8 @@ neighbor_link_callback(rpl_parent_t *p, int status, int numtx) (unsigned)(recorded_etx / RPL_DAG_MC_ETX_DIVISOR), (unsigned)(new_etx / RPL_DAG_MC_ETX_DIVISOR), (unsigned)(packet_etx / RPL_DAG_MC_ETX_DIVISOR)); - p->link_metric = new_etx; + /* update the link metric for this nbr */ + nbr->link_metric = new_etx; } } @@ -148,14 +163,15 @@ calculate_rank(rpl_parent_t *p, rpl_rank_t base_rank) { rpl_rank_t new_rank; rpl_rank_t rank_increase; + uip_ds6_nbr_t *nbr; - if(p == NULL) { + if(p == NULL || (nbr = rpl_get_nbr(p)) == NULL) { if(base_rank == 0) { return INFINITE_RANK; } rank_increase = RPL_INIT_LINK_METRIC * RPL_DAG_MC_ETX_DIVISOR; } else { - rank_increase = p->link_metric; + rank_increase = nbr->link_metric; if(base_rank == 0) { base_rank = p->rank; } diff --git a/core/net/rpl/rpl-of0.c b/core/net/rpl/rpl-of0.c index 0ad1d710e..ca73169fc 100644 --- a/core/net/rpl/rpl-of0.c +++ b/core/net/rpl/rpl-of0.c @@ -126,26 +126,34 @@ static rpl_parent_t * best_parent(rpl_parent_t *p1, rpl_parent_t *p2) { rpl_rank_t r1, r2; - rpl_dag_t *dag; + rpl_dag_t *dag; + uip_ds6_nbr_t *nbr1, *nbr2; + nbr1 = rpl_get_nbr(p1); + nbr2 = rpl_get_nbr(p2); + + dag = (rpl_dag_t *)p1->dag; /* Both parents must be in the same DAG. */ + + if(nbr1 == NULL || nbr2 == NULL) { + return dag->preferred_parent; + } PRINTF("RPL: Comparing parent "); PRINT6ADDR(rpl_get_parent_ipaddr(p1)); PRINTF(" (confidence %d, rank %d) with parent ", - p1->link_metric, p1->rank); + nbr1->link_metric, p1->rank); PRINT6ADDR(rpl_get_parent_ipaddr(p2)); PRINTF(" (confidence %d, rank %d)\n", - p2->link_metric, p2->rank); + nbr2->link_metric, p2->rank); r1 = DAG_RANK(p1->rank, p1->dag->instance) * RPL_MIN_HOPRANKINC + - p1->link_metric; + nbr1->link_metric; r2 = DAG_RANK(p2->rank, p1->dag->instance) * RPL_MIN_HOPRANKINC + - p2->link_metric; + nbr2->link_metric; /* Compare two parents by looking both and their rank and at the ETX for that parent. We choose the parent that has the most favourable combination. */ - dag = (rpl_dag_t *)p1->dag; /* Both parents must be in the same DAG. */ if(r1 < r2 + MIN_DIFFERENCE && r1 > r2 - MIN_DIFFERENCE) { return dag->preferred_parent; diff --git a/core/net/rpl/rpl.h b/core/net/rpl/rpl.h index 512c2f5f1..512c1a8f0 100644 --- a/core/net/rpl/rpl.h +++ b/core/net/rpl/rpl.h @@ -114,7 +114,6 @@ struct rpl_parent { rpl_metric_container_t mc; #endif /* RPL_DAG_MC != RPL_DAG_MC_NONE */ rpl_rank_t rank; - uint16_t link_metric; uint8_t dtsn; uint8_t flags; }; @@ -251,7 +250,7 @@ rpl_parent_t *rpl_get_parent(uip_lladdr_t *addr); rpl_rank_t rpl_get_parent_rank(uip_lladdr_t *addr); uint16_t rpl_get_parent_link_metric(const uip_lladdr_t *addr); void rpl_dag_init(void); - +uip_ds6_nbr_t *rpl_get_nbr(rpl_parent_t *parent); /** * RPL modes From fc4a83085a6faa76e64378ca5613e7d6eb63f177 Mon Sep 17 00:00:00 2001 From: Adam Dunkels Date: Wed, 10 Dec 2014 09:07:20 +0100 Subject: [PATCH 02/10] style fixes, cherry-pick this and fixup with ae87790 --- core/net/rpl/rpl-dag.c | 5 ++--- core/net/rpl/rpl-mrhof.c | 3 ++- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/core/net/rpl/rpl-dag.c b/core/net/rpl/rpl-dag.c index 33961e8c1..003432852 100644 --- a/core/net/rpl/rpl-dag.c +++ b/core/net/rpl/rpl-dag.c @@ -83,7 +83,8 @@ rpl_instance_t *default_instance; /*---------------------------------------------------------------------------*/ uip_ds6_nbr_t * -rpl_get_nbr(rpl_parent_t *parent) { +rpl_get_nbr(rpl_parent_t *parent) +{ linkaddr_t *lladdr = NULL; lladdr = nbr_table_get_lladdr(rpl_parents, parent); if(lladdr != NULL) { @@ -93,9 +94,7 @@ rpl_get_nbr(rpl_parent_t *parent) { return NULL; } } - /*---------------------------------------------------------------------------*/ - static void nbr_callback(void *ptr) { diff --git a/core/net/rpl/rpl-mrhof.c b/core/net/rpl/rpl-mrhof.c index 4437e5e30..9a4b76333 100644 --- a/core/net/rpl/rpl-mrhof.c +++ b/core/net/rpl/rpl-mrhof.c @@ -127,9 +127,10 @@ neighbor_link_callback(rpl_parent_t *p, int status, int numtx) nbr = rpl_get_nbr(p); if(nbr == NULL) { - /* No neighbor for this parent - something bad has occured!??? */ + /* No neighbor for this parent - something bad has occured */ return; } + recorded_etx = nbr->link_metric; /* Do not penalize the ETX when collisions or transmission errors occur. */ From d575f1a6ce3231b544dba0dcff84fb918e62006a Mon Sep 17 00:00:00 2001 From: Thomas Weber Date: Sat, 17 Jan 2015 19:19:16 +0100 Subject: [PATCH 03/10] added missing modules in Makefile.avr-rcb, added netstack init functions (to start the at86rf230, modified macros to increase the buffersize) --- examples/rime/example-abc.avr-rcb | Bin 0 -> 260845 bytes platform/avr-rcb/Makefile.avr-rcb | 6 +++++- platform/avr-rcb/contiki-conf.h | 27 +++++++++++++++++-------- platform/avr-rcb/contiki-rcb-main.c | 30 ++++++++++++++++++---------- 4 files changed, 43 insertions(+), 20 deletions(-) create mode 100755 examples/rime/example-abc.avr-rcb diff --git a/examples/rime/example-abc.avr-rcb b/examples/rime/example-abc.avr-rcb new file mode 100755 index 0000000000000000000000000000000000000000..893a0dc51299e34bc5b9807ae0d871e982a73d1b GIT binary patch literal 260845 zcmdqKd3+RA)<0a;BqW`LPC|gB1=5{G5+I~IECOyM5D^eb0&a{;5*7sqSrx=lsp`&7 zNVLN(a{QPaFA4_A>e>{zo)9Jx`WFz&-;6yKb~Lb z(_P=Xb?YwYo_p@O=bn4B=9cM`S(atAkAa!RXm_I#c*oXW%xk!gW~@vvCYwoO5|rm& z?FC%7FH^sf!1OMCuV0QI1E)Nb5kTMGVb6y>im+as@HFK*o?%yp^}PSJ{Bnl>`*C}wGi&vVN9R9cU9x!5!o~A*W0;s>!Oz5^qC2L}xYatT zXvQ5=Z=0$G4~t>0wU2z9xt_U}ey+csNzAl-(Ash6=+}GxvL{K}EWIk7{I>HHBgO79 zh*7RS?#&Z+MIGr%IJ&vxHHj4myEk9AE2^!_-BT{%-`%-D*sabfwC&uLM#Xj7z6!?YF@39XSuPLfk-n2y5 zA9qR3&Kjp#R73Kk8Gp0PNp9&uiQ;?W)I7e7sX~~^yK~HuRrSbq z;H6k`#d==yN{f`-Ez#cxb5nETr3KPtl;YmuJbt$hU$?8ieBEx7_iS%U|611MUCcJ@ zsxNCmSYM;bd&VdUD|^Yps$NDsH}#Q(7jgFo+)2VlpiK&D0`dbjoFr<_>&+aj|IVe< z#O>r!3)h(XGd=IAP9|@<@k;AOZ-VA^wlJ9-rYrc0m&tm3rZ?w-U z7$fXLOazCk3)k%A@liXvK4t02?^5i-lPUSaQz^y5(qo>95if50f!_)22@?o1?9^~pVBInqM z#!}7`tDtpbUyu{rgnQ=3HfNsC?3@2;$5*HfFAf-Tq!*BihFa4#bG|4QfsVXDw;VM_b$wx!%T?IPAr8OJ0F2*b8J{a@+F)2E@P{ z!SA5v2+`zCHq>MsI!EuvhP}_*$IEGwUE=eG%2r$rBHa=F2R#!h=B!_b^0NNUE{Bq1 z2J)O4=?sQN`x4wy=0VL-NZoE2)Z7c#+YC7ysH{=unv68iCD~x|-f!TPS`TVAirF?U zFIu)qmC_Q4YA`c0+IP`*+V%&w%GOX?eI-WBkwzo`CAOz+ChvV?)H2yRCUj7lLiLXJ z#d|EC6kCmbs$7LS)Y^y2Re5H)HqR*Mi-VdAlrovTK0~xECD|C5Ag0*U0?u7j!gSG` zXAV^5tqw$p1M%8ct zlxiU3Zk5uMI@PubNMA4)D4h1Ca*QJ{%Bc8p=4E3tv|Y0Dv+*KaO2Ukc6wf=q`bhk?kL?=hLu5;Zhz_c z59t6)JO#NFk>IXONpM%->r5H3K4-l~j}aTfIW7&c*MUNjkqyeP$vdkT_nliRQ>5Vg zkYp;QR4GVLOkT-Ibateu6zp(!yGgbdqn}-CvrCtho_3vYq%A#btS`%VH2$2@)AISk z3}axqcY@(qa} zYZ-R;*crRoV&n|2MJ^88FZAcq3o|&L^Q`&h7)p;Ps!+fAC7HnSH5q2K*$cf4VuIU| zQXQl_=iwkL`IH*8=z`>gK$(Y6sZi+jWn7uCCdC=V22qp_q9urC-L4Lo+M_~TR?l8$ zIbOiHIaEF`tsC7EWjv3O=(tb1$O=x*X%Xj)I}MtwiPJdlAF(v1n!K;}8p_-Dqzo|k zE<_t2j+KO+7(sT?SkiitO>`s#N5^pPBA(P_!VQoKTwAoS+uf7zFXpO!rtQoXrGFBS z*CM}X48(IM;~0Ml=;4TnwyF1gvB~?W!5}hHLaxISVd`>8_LXkX@r zYd2Kxa+X!@uGm#wR#PUD0@RQ-?B$7NsqS{{q(KH`uo`%sJ`KEF$YPWOmi;8C>|NP zkgv*cNz-_P=)>4E&3Ckm#&MDW7+n?lLs|^Ikz&hn-sXH*d8^jh%sfRUXP-&mH}_`mVQmiZ_j&A}2V$VK?8i zr)ZG7UF1V@Va4V&1+IW@{W`^F}ZhWX;XT@7!j@#{SlAM(KYQyQo;HLL_DLnR2& zu9an*<`!4Q3xm^y+#p4B-)K+16t%mnXPW<>9zrdx(z5ZbI;EW(+qHbP8sxr_y`c^* zMv^4`%6KuOJ1Z!+8<*8OYk59zs$9!g+4Jzr+cEa>Re5>mt%5U!#+sV=W=lxEInb5X z-tC`Z@;(_!vXWOYZ24dC*~$OX0~s|cwrM(zLa={A~-ZO=W$ zKFwajZ-l5x=vtv!VElW#Lei$y{f(R6pgvB$sGfZyOybl*Q#Z;bVyf8CPJMN3%>LYy z!BmanBK|4<0BdM6c`eaK*I`~9VfF9mB6+_qq7E9nDxt=T3&!m@AO8Mg_6SnR5b53a z0hf9o3DDCI&}VOtkz7p?vdbS)SNTk(%j1V`*c5T5@=B%MZTPlq{+3k;z+)WV& zTD3fnT-e`3qEYQ=v?O`@jjI*%A;G;aLM_3ln7XhLOO>`%?=vhTG({u`{)mCqG1d21 zPp_U(ommq70R?GB`RvN9w2`C+d(iz03oQs@V4XR?a+)0CQL7NsLAiDzzZVyIT zcLdD2odq(fTj_`jY6`Y!p8^tDR=$- zW1N}JZ`dpBbNm~8f`4Jxfz~ONOk<~s?g||p9}5VBb0Q$%WM_zM+p;^SpezU6sqNn z@PMij(nz4zYJjb#lItEUp5d?XcK@gHL2#uAu5?H>)d$$#O-5gHR`nH^&)|31g~$Xs zY1~kZj?~wgvP3T}m2_@< zzEmvHoY#xK;fpws{7sY)pgFk9;{z9XBl?J>3DqZWiW;hflm637yv*AD&0Pl}6+}pd zpSHeAsRZ}>2oWhCM9PVhNvuU_3*?k)bJYQMj*_#?3A4MFQkQ2E z^r57G)Jt07JH{?6*fd@x-QKuNy|NP z*T0I&_%hGL$O3*QU+gJ}%=hF+I(aW@J0X(u+#G2uols<3W?yRcY=~G^ywuYSIZ|+* zLmgbGLy8!lSH6%RSu7Mpa>9*~F5#xgGGSsQ)kng;jC-P+%Y&=uMm-LC;e{x%e4IIu z2EMaaQ+!I(igMef?D)LF#D7$ar6Z5XREMm1+cK-?hRC0-i*u&4K(VK+DW$UZoW&Ba zis)kp(Z?tsjZ4+ObD8}E>q5Oa)N3QV&GxFTm)OVkp0Ihu%gKA91UUg*X7?|XU9fY= z-~|c1fKjG8*)hP;%9dlk^RhA8cNv;rfy`C%#SXhQz)O5J=UI<7p}hB>`K$EvqoCGv zf2ZhCPqSoY(n>{CBMD8THu0Jkmn3*7o+e$MX0!S6yknpzmTjV5JB`1WpOQQ~Dk0dH zwNy#-rqWXCbhYw%d|Lm!$izUDxRJdS)bIy-BtBMU z2IP}sQz9FnTJKODd*-+nT8PQ}ub7Kf9gh9%oYO0yd!)zq%*Eu5h^5iA1;3Zs=lPwL zG%6+f6lqtLd^C#FUW9ZEl&N)uH--8^h5b_?YKD`}S zZCmwzY)rK1;5~}`885dY_8T$nwo5j7hUrZ)IGOwS;jA?$$LdtrslJW&8^v|9-%H^F7Qr-|xkpdIq|kQ5ng! z_E!5szHl3)*7V4YEcKK1GAB%h^qLk){mtc>25B`la^k>QvBjS0kdC)SQm@idi1xV< z$|00&lv5+^crWdq>x)QcS%oQ(QEB(8cj^dwJ5rtzd5hFI)*BTXMIonS8Auk}sWDQS zaC_uZ#L-IiDQbEjY{8q6`c9;t;NJ}?cpGw>9%;RQLeZ9c-YM!hbzb6*xnT9VT`2Id zO^#$w)EDOx+=%U-O7myLcOgE|F&9czJ1`Nt20bx(ZE;#^nyl5v^aRA@G25V#ZV_lX zHm*K?lZ78AMA`#`1}1o>^q_>=D^y->KYFPx(Vgh7Z96mOV$`87)wYl!(JW+`udS=5 zv}s1!chsBebJLnWvL6CRscoT^Il(<0Wxp+wJH{cO##27%y)!`P+cBP$#r5Q(Z}izy zJ-g8P1m>Y@;ui8x@cVNAmYdf8;ebOCDYCu&Pq~M3IM9~p|2Df_q3S{8A|jW4tTwmp zsjpK#vTfsSN7#yEeO#=YFK+94LE7C`W%Ju0IgheffuOKxU%O3~XiROt|H6!A&N8QJ zX9^Eh<*Z9OrcmKqqQZSN1FO$f<7UGSbxxw0@(*~Hec#v_#6w(LbDK)3^|{f$h7QuQ zugPgpwC!ths)F~?K9XB1@6$8Vt15Fy!&K)cKeEz2-5BSWVV*>*6d$k;-?66X0NZeP zOOeUDFK(bnDTUr53NGMR^L6|)JjJiy;X=Lz=-DS?r|-yY`XFf8qBiSRtVNRW5_;Jm zVbzI-<|G2|4dPdUUkl>bf!|QDY6GUYRS2tA!bnPm-%CObp4EoMH+fg}A)XzElKcZb z`)fS^2G9Lh`ig7Yp!LShIk6O$#`Wm^X|P5`^&xweBy2{!ElN69OP_j-Ds^q@TODzd z@D*bILrHOvD7v2Pb)lsLA6q>{|8*)+9@O z0Q)vd@e1mcCZ8SAjaitcAF6XqqRcCx&$?qC(1O;c(qt8ni6%-G(aOdN513s-shNSk{knLq#M|9b z(eh@oce}Zb6RPm68qa2*=Y$&ITHv9;b--(ZFG2Fu1Fr-A6u1Gn5x5!H1uOtB1a<>^ zfN56b1@-|O1CK!8tTH!FAXL@fjC$TRs3v1qK*i_Nl(7yipP5mQQLhAAugUvG8e!EM zm!E#GNMl)zm15SO-_MdwB44p!-=u`Kbyl6CbgKqIw~z@NMB-M8_cGobH?&N!T9yiF z7EVaFxP%N#_SsQLfo#34qYtoCn%-e|Al@Ia>Q=KYQ>@!klOf0s`|N;Is@rye&2J+9 zP%R;U7@o3~dL(Bxd2>t&?oxAt`vG%|l3#cTBw>;&UunglC!f@@>iS@woFUbXaz`2E zfqQL8Ay(9+56PwP?z=pLG`_}ChkgAy&T~tWHm7uXZcO4lHzb+7u}02wpIN=$Zzj%J ze2gr(mS~fAdt%)&kFxg3OJ~J7c4OdkajxAScn~v-9b&ni?|KunceA`;-0ZG9F}t6f zH%07XiG#UhA!=%Xq@c-b?W<~WNshjb3edLQbSae+CMVI#+hmk%auRgs zbDYouj@+C|Z|1>ru{kxt-Gc8%#Qh9UKG&aoj?mBW-H#_{@uWj}l7@G_Md)dSMxmvm zEtHZ`-q4!h?qx}E8!S+)+HM~;JL(Xu4r-6t(Me^mKiZz#nKN8;Ne!E1wsDi(=UIFc zGf&^sIinKo`KjKotSGBp%H1TgwoR@+H{+X_S$mH#!{u3-%6T43b%1`?_l4eSy1ITf z-%Ce(k4{B-X0{SXQhzlDro*yuICY>{(7zx->Nm1A-J@n$1<{MPnfY$AU#FR9z32MA;qek$+rrk4HMyjY=9`7bQg;d~ zQxni1zGGi#iWEg*S?WPydFuQoPFS2;)t!zOzcndeI(F<_+W?Vf62(n4Cn!;DGqVHK z{v=oOJ+~&2mOs#Ojia|Xx~ZsiqCNi{g;J?BKBgH>THTg^l=^vH z!c_h@{M+oqcdf(-UY9_UVw`QL%^<34li}I~X>PYAJ&bjt1^2Hh`WJh2_JtWd?~+2= zP*hX8R3M&=@3PRde7?ZGyR*QaB2T(*D%NgorK3ciZzy%dbDrr*W5i-9|Hw5URwgPJ%q9e5{? zzqvFc{wQ>qhUAv0IC*!g@;;tWikI0*umk3M=9{Z+%~|PucD&Uy&%A}-?3rsWhRtA( z8S`||cS@knMm=~gR(s8X(o%X-0sV35(yC;C)Ov8>JTpC?hv((Eo2%SW?4h`uquk9g z)69nh$GO09g|O*PO_XpaO6vywuyU1;G+H5nzHBF4{y7(ZRodo1z9 zzU03}jf1SZElC>zQpEiOkHj{x(K8eB=geL?qv~{X8_js;k2&ZmHH)4H%x6ztYU}5G zmd&1dt+VIokS`^P$I+5^*h)(k$&V6IkE9kF8lV!XGyhv`6Yci|OW5dcaqn@5>qep8 z!MV^Du9c}3kogUN8usn@HoNE1RI6u2s@+p=wtC9UPWzU?7pxCG(&u+cjaWe-&B!QM zi}xu~;C??@663s&4%{p{>_&MDq=h=tCAbemKK~6ZTdc_bV$g^5fFk9t2zSIMGnBg- zxFbHfUAenGDZo~4skSG|mG)ZX-h|wn{Eel!dYb$-W7Yh8utE6zlryYh0lEg*%ynbd zrNPc&4BR0$Z5e7yD;~0~8MZQd>ff>+>nGU=OSj(>@Y$y-^%~^nU~gNTYVzKiFzXYa zeM%q$TAVRgUDt~79_?a9>#+bkWLrzAe_MzGopJ@}a0mPWK#joJk4S4&^D&84O4y(F z)hO3J`zWURZ1=td_crt2KdQj`g_`F*pEQD^>eCt}G~$y=d)j#kQdou*=4ypnvdK~n z65No}?#EJditYJVx06O~jrwX@TR*I2<7Oq~Lz0`hHQaS-J}$^ZPO?H0IR7;7@^{23`Y9oXG+6zzcyLz)oP&P%D5dfr+a^I&gWI4xAUJ1GB)I4txl2YdY{2 zU`+>J2CV781;AcVF%4Ir8T9Ma#_sdbzFVTk$qs0gR%whP>7hRgkC`3dQ>PND%72pe zw|~MHABHWe-jnRV^%IpgM)`Umg*x&WCG3BaIuXc0n;|R=qt5s+>KMbQa|AWksIvoD zqt0qzjXG0-i8@1ZCF&RhhxQRK9M~6{AsFRP_VF0;9&eR|CFnKaQGV^n)1CDrrv$3- z#uIz_JY%3%Yek83s?%1~$A=~%Wk21>B#NMDU`1;B%B41`y zFy9>^R$aMC|K`%TG&wRaw!U$`8hfkCy8#MkRjvlrzZy)+?q829lwZ7kgamj_zfWv6O z2^-M|Hc@|ot!Qgv2XcNEImhl}g!SeGq1jB9Lt~)VzS{4eZJPu;pQa-+{zIM7$>ylt z=VvP8#Vm{$<7KPR1exPkWKPNXuAn`-EvQTG4OH+x=#*Om>Pi(K;3|bm#$O(ARC;hN z2vk)1a7_zTRr+x~q|D^rV)NTbe=8b;xu5(AI~CFj@)P>ATI)G?sDt-E)0 z;FZ>wKh~tFLy@}SwRK_a<1qGVGL^;#PWT(Nmcy7q8w0M7H?m}%vqMT+Atj?JCv)~p zjGJanZfDk7J&&2w+N_>O&Am^X+tz2*X06XU%=T~E=xOlu^Cv47^Z|a7?^yLkeobaa zt*16gdEVDw?C07v3lz;$A8cO@y{B(#-M67up_br$F5g9#))Yxrj{mr^qosrHMt@AL zYVtmt*p0S=M~^(_V=9N_T5pzJ&1SN;8Uw>W#_F3Ht8eDL{SM(irVf>)+4gI5NphDYS)rSeZmMZBG>5d6QXa-YxtI>! z%nGfB-g8{};-iYTi)>|eJ*=S`mvSd6syT3`OW0!eLp32j-E3}hj;yu{&j7cWea^IM zm$1R?LOS`vv*tpltGcn&Sxx$f6FRK}Xneo{!~-P;unvb5oA9n5@63cwh*E;f?cj1d zTFQ!+I?QVAbh7?z`CAg8RRFm19NqXq8pV2C5-g)hobjW|w--_5l zVwQbJfbS(tF{)Iy|hf{$F# z6FBGzPD#<7pfh`-FW;KB{}e0oaAgITc@wF0(YCBxs%KwE&drrqu&7So}Qb$JY>yQ zGs#2i8vD|;F?o@3ff=I12i;PN^ph2tzQw{Fpxb!yk}{{d zC|8$1LA>_P>tR`AzOxzuuHb3_ND3eyvXr^_t+&XmM)!>w=A+GFj7oISe_PU zUluvFlPm{$ftT1xON*BhW;=_)`2n`D_KxJ$fnv19EkGqe8_kn}h5~Ie-wHHa=DV9e zq!f*T>Q>6lC<`A}wpMiCg>v85^oa1JaKFF2|1vzkUHk}^Fq*yD(VuAMHZIvHPZX`_ zZ8U?+PHsC-y^!W{>yvk#=g>FvF`KJM=FbOMdt+LoyV2QL4O`2hK>C)7Elpc$2fA%d zKKiR2m>r+K&9h~^?Kv|s28V}7G|CVH>wi?E^>H9HD0)7_IjKXRzl}Ki2xR+P|rP0%ZN{U` z`1^0|_ZOlB*F93qykZvvY{fd?IvT|!&`q^Mv*0p5(F*!IY+N~|pgke0OnSLW>01*h zf6A4{*8BW5lG;kDgyWUoYuj7dJxDATUNGlNoUj8uvSPSzc)qYAwODvGbxQnfOEGkv zeCRrQPlRWb)(b2)_?d%esQ|42@SLRc?)M2e#^0p|mDy$=<1?(70 ztQbo;p(M#A%uEVNBH{~|qsfouEnBV7 zR_xGLXfAB-seE@p>@jjH?XpmL#&w?zN=K5l z>dg2bc%rREkhcq;=pt?*T6M>ZTz8aMt?=Z4tyHr#u}bfq1MxHiKE^aw(X+RIl9I}I ziAX`~(M^ya8W)GkkP!A}Nx&N{t4q&$t;n?-yu`-|tvA0M`M2=Q?#R_uthJ$#zl!jycR) zP$!E&l_aA-QmlZlWK}iBtLiGq&qqPYWDBg=xT;j%2zwLR6_%T8WX`D(k_lA;ZTGpE7**aCQF=S+rj1Vbf}b#rHSt zR90PiSSn3!DPwPKIT&{rzKNOpO-E9D`{?fsJ3y>~H~ljB9i>a(Qa)WFP$z9p7d(Dz26n zH627u5iv!S_#l<|=)Td1qp;$m?~|hy2yLZnBU^b?t3A{H;)!o_x3&F{OZBEXzg74R ztoQdOX&xCW)uVma9-RP7<-L%nzroCUR94m%yRX0K!pwT1+&E#VTu@sqkymS8K;WO9 zU=Fx>*SOX4lT9+-k?@X$bY-O5(sp|6rKps1DrK-{s{1LY*pB3`J>AkaUn)Smq}gs7 z!L+}3;-9&%wf##e9gjJTB;1Eo?pNAGMR`rUZBvgnu_BHgakLs}t1sVx!aaG|g7sSR z5&jMPTehz)M3rY*ru~T%r*c=cbp>-a%J+y`4TvAqy5u!|-Gdj@(7tQ8^xGA&H{wtP z_AW`zwNYYHT`ODI^d|dz*qr|`TzgxPgtd(wu=ypWOIA-Q^z3qc%kZ6x?;L#R<2w)E zhwyz6-vvo7&qDCT!%6EZInQsC$aC7|c?2Pgk{V9XD)qrZ)~ni&R(wJfp#J{~=+L38 zjc;T_^k&-6oKX8fh!c9!QR4)aW*E&n)zXs>^}kno0WsC`Hz?(AtXz+oczF5O4t9Ca zx}N)z$i{t{#V!QJ3r$@)yUdf^0UD?_av>ATEHLe!=GVaVmaX+ z=tHxUHcLAt(nOw%dIRf8YK-1Fb1|>sBZbIfIUn(g5sy~a)u(?LPTo&{_m-Vr2K>V4nprJHF3)Vx=N`=9?@r3Fmtof)Je*_>D?Hj0TdCD^chb+NP83dt zM=<&4J8Q{eKz+yLeRnwdvv8o83luYXcMR6>bm%>5i%7wK*$5ePF{=H0lXpgj$-CdG zmYq_7CuvB-fnURp)T-kyc(@FMM@L8!QH>6x=l5bISBgb;nJtWPjU_=CXnATxnY18& zwT0^b0_#W1wvHx>4)8_!y1b{nxqT)K&bO3UXw(e#x}TRjChusg$-6tP;>6L8Zdf!1 zyX$1@nP-q?sb?^Bk5oLfSZdCCf+<;XpN6>Uh?{{m{7lP%6aUfH(BW#Q zK25Uem!#4Gw(L0hc~CE?W?w{4m=9`n3_QR-c#`a9#|OhVBj}kyyCkCq$9MFV5|AqM zE2)NL-9km)CAfc!>%&Q$M6yGb6y)J?aM1tvlt^BMr$pRA-bI=Z32}tB1N^5wilpaz z@O2?xOz7nj5__Q!U-qffVh(dog|>0Uwul|ZPle^KQ-)??6UY-z zw?kfF3$~?i+XpWfjg~Zm?1L>J{Qd%)u=SUG?%qRh*UC8>smL(Y~M4 z(n#WJ>B9%sR+D=?xEm>5dNp_b*-vvl(vjS@oX(sI>4n@%_yF;=8{7Uke8#4BVkK2x zDvm*k^Mi827+4^_v-Lr-W{cDQ_Ia%PLgtcB2uT2A;5T7245K^?u^LNjwuO9>#`Z{Q zlKA3Q@+t~Ze4LW*B?J415kP#~1!2kgs; z|5G2R=h-HNw~pS=YTi#8eN_6NVP~+-ppq4H_s3g*j!RW7|L61_^3i*kt#9|X(ax^{ zqQRaB57Zjv{`WB(v9HW3)b+CqYx{l6|BELtyY2ANqk6p&^Fr%rkjE zwr*rKEe_tA!4gy4p_rY%5kq5r=y~{hJ8{&LDDeq+uzjA%z!SwRepUZfKbQOgy9i_Q z?IToO`V(D`9vWCTf*V&Z|0SmZUWxFA#+z3E&@NhKNeuKwd+PaPZKXt}JMtgAJw`*z zWA-cl;#vnlLV|CwoYDLO1DUaT# z-oHKlYU!hL!PpqHvbU&N;X#!m#3yQbUuI{(Z<6c;?;y1`X)&OH;-jzcgS7hD9-R0~ zxu}xOg!c`YKZRDVN|c`bMohR&!#=kjZP_zTt=#0lPm(V|Y-iJ(s9ZHx@)EtwI$&un z2$0MPwdBvINt_xd)~&+~89TTp1|?X5Ql?MRwVZnWOs$71weD8@g+sc_H<;7VF5)tO z{F@iOU+;{cWDlP_@NV4-_h+^S z+_4;fN2-P5L3n6QhrPTGE0yFwLtY6L-}-^Ej{?+qnvM3h4eA_pjTpY;VHq^Z+mec< zX?NZ=!-`zU_aO(Ck5AY~MqIrY!rY} zlL~Z)l_Y4;+Dg*)_T6nKzwK%ZjllnZQHcWu_T#*RKi~DAGx&C#d826dw5H5qJ@FZ5 zU2uOA*#J^(y=_(hRH+(^9>!zUzzL7f63nyZ5jq)p?l{8`2M2Urn4@5DcGq<>4PLVJ>{F3)=?<-5s@usb z(cfklW1OPa$(PbWshg~~h^A5tyzDvad+dI83bcCFJ7Ej-uXmGO!h6Xi3oo-7%3hN+ z>@~rjw=JZxl_A}wNcZX#wS2#VocNJl)|4%gj{v>-6?+dtR)>Wkt!)zWk&#d0R+Iu> zTdGxd+t0|dpR+q?tw+2haayaM7mu(n-u31T)k~M!^o&@+lh=N`n1B5B+z+fLe~d(r zRXzE?4z+ii*4_iet+VO!&_ZAn;dy{l? z<*K~{`TE|0BG{1YwoMmLT#klMQXWooBF`fVyH%u|O5~goH;p)=p9X z<`Si(l_t|(VM<%$1s>YKGP&DYu6S+Gtik0GLBTzsAg!}}lCH(<+^TVmrghQyuIkpB z4Xn)Rka%YYG>+{jcejP?VAnTQNr{RLLDjfIyI(XudVa5jwhDSJ?I8Ynb}#>X;-?K* z0edGo!M!`V0=9;?llw{y!L?6Ch6L*NadX%Uk(%X!IzS~^F z+sPqXorVOg{C!P4{gLnF+o(P9ycMxtVkg3zeFIBURg<%s$zyQ3LusqpCwlakzzOsh zZFKveq1@|1nW=hq{!x<)^36ci@MAoLaa7w$v;|n(Nwf@D^P(&O*8Bt$fnD$iq%l{3 zKj0x;-570l{fx$?(ro*w-EBw76Hd2dV69NuT~r18^!v$n;e%var8UYAh(8^Re<=;p zKz_RNmEgwe8CJSim{mQNY|@XJYv5trWZr&ycN^`SPV{qUInM@oioFln{6TU`lWH|p zcN?m0>A16L26^y5m__%Rrgrr>&7BDOokZwsZlS)a&5{1hQfaLxtyitn4Le5OX|@jX zRHK@ccSjrc8dRA_N_kG&zti8*&p|uj6RQ%c`c~yhqpCBj|Bm&}9AU8k+Uiv0R1S-O zZ1s@p-r|?6Rnc5&G@`m@wUeimT4S7MfR({#@ZE^-CUXi#J*(0l6q~4~?#Utxh!u8G zRWsIlMsHQ;33|YVICeF7LDR>k0&Du18CcWDPJ=%*eQYx1U`-#RQ&iMZ za=fUvWT-{T)fNHO%_5aB!MzH#S!uqSQc0jy|Ehjf$yEcYY9PT@n0t5#{Ys0fvXW+D z|8;9I{@sB_?Cf-T;17*9`=wHFuvYr3tI$H>YlVsysZ&(tu^$yZvZ|ePCOYo`&PzTM| zb#o5#io=ePx1kB$mh>rRBQG`Wk3Tf{!`c5iqf)BDF56mVFVUj!9#b?$(rXtvpTa3A zElz82-i9?}J-vLSH>ETm=~;WMWB*zYQt4e4)M)F2SH}rW!@Ue!6YZ0s(-a5Ml`wg~jFW_C5v!#aSuBk{tuOxjXIAcGB%#t-;K$=?k(i0d%# z;e@@(F<~2qxR*0pYyh|n&bvznX)KL*tbjk~l zDLQFFJ?l-I8-?sJm)Yaod9F8~%#;7>R{k}fc0I;eXvJeKd-9sDnCMt@>|2-xgx*z4 zP0wk~hW5w3$iK_e2~E>t?~SE3n~*d(!_vMvI=e_+7g#lh?&+N=EiQIyi@nc1_$Fr3 ziANNJ_NcBJqrMOO4=i?6db3F9CT)#>%`#mm%)siPRPRwvhH4SnYg=4>M&p~9shq}y zU3F2)sTwpgTFdF`+Q4rpEvPM%$yiM%R((d zZ)x<8_BqawErP7HF3-o%TR%$H!eI5eYDM9nIO9W&KQ^X6N9#7gq#B;OP@J1{^w ziy|3kQIunK^kuA|8GTWrX3PB)w#B@wYBhI(EJ~;A!U8guGl zaen3eNZR?ITRNeL);HJ7pJ)Cfvkz8ksm6u;o&3jmb5~BZckiG>gW@n_Jkal(2=3g^ z`^(UZ4{^@kvwv$V6S^=?i{5&mf>Lormoi1)De z67<4O$lvdiU7m}eWmmGvn=yjyH5^iKA#JO09vaexWb(-+UWg8S%7({PDNZG#a|MV3 zp)=uV#n|M1DQAdCr`D+FaFU<*nfNOfYKz;2`-CL_EayZg?d|OOyr1&4zrVzp?;P*U zMz2;a?9?jC$u5=Y8Cxz;570b@>5RC;vFbk4G`ULQl7d{SYqw&R43`3zu>=1J_B2be z_OOL#W`S};auYn?Co`VTWZ4}^mfYu(4|*;ni=OkzRmV3SHF=-Up|nE%_bb+{@Xs}V zLyvP`w0tz%XLgunq#oxH&`AdGVMR%`P15-%^|^=jw6s%f%$SkB1%Ax_nYsE;>q93B zJi$&;>ai#0hpN+6``JZjR-jf_pJ+oZbtddo1hNxz9iG0lxJ9y)1N9m z*yMe0^n{Kj6IMjk%AYA`F^-ZRj9+EB9w&WN#rrKe$cpbQ_WG<56tqzWBdc$d|Jm766yTa0ZdMo^~f@^V!3*opJEh=o!xW*7NH# z);o!ETCQqcH2zdu4fP5z-zCALVm&x>Y)pdqfnvv^)dD(cW2j>Le=c_;8}~C-|EXQ- zo@lLAyOo|s)Vd@qvx#4!)-w5#(p59QgwHYB7Bw1!vw5jTY4CQ}N=%gJguyrsJT-;< zv3DwGP;F#MUf4aUDOS(ml*gR&oL{jo#=mRXR{9pKN|!xnJ>j+f>6ow|Yf2{XSMaHL zBZe${#46=P_Um)BQ<{wOB2g=cw*E?f z59;}c&*ffbPZVFo-r#ij*sm+~&!Drg?1~QiM+(Ja^XeI(b}{3kVKiN_$pADNT#d? zEhxvHl8DOmVf=pt%M{|W#K2E;@5!%pG8&cn1NM1V9pNLvS!+A|Jwig9ruIPMZW<>y zvZQr{dK39Q^-N#YStuOdKRBnVR+f~t%+B1m*afg>(|FYpw-z*`tF?`!$DLA3&o?KQu1#9b0?!`== ze~fK6bq*pv69Rv`k|b&)wH14cnS=CS9cDTTpx3yR|LBk=4jM4H_ad40&y~yS^W~0m z{kcJG?f9mH!D-6$rrW_g0*+()Q_}ICX6Y<7@=>PM0$1CoIMd1~zx9iL6q=VV$wuM` z`03%)hP=Teo5)X1J^k)7OQ%rSq4m=~D0Q@W7-uxs;*5tM*l$ZN7m+k@WOy>NkwWIc zF|h`*31jFm#QAkuwFvz98@86s#txyvz-K{^kkKPAu$;3%9M6uD9`iorrLm9pAEn9D z71|Ggb?CB!)(N~Pt!ZEXzeRp=?gjSN;6A0a&QDl6e~fymSyb^GL2LZzkpY(X6tU`C z^q)5~BzCQ&9XIc%e_`X%|@*Mlu?gNzNt)XvU=ac~mHGQE%()>|!JM z{yg#K&Cm$T2Q3>kORCHja_UW+QioxVu!Va!^G$Y=^cc>bp9ZSV>+{&)?F!xM@`~k; zSRd*>Yb1GnlC15BixaPLm>qu#hSN!D^o+E&KgWGJh&W935EU*MMDmvVgdO$^8s^p1 zBhPT+xnha>c-26EENNZ_u`vElOC9)z<12@APM)tA&f^rzUmNf0*?Y0lyISF)O!y`I zz~=LJfp_LAyz?+>Nj}SI@-&5G(!{@`ZxZ!J2x&O~uByKuyyItyxSnlF9kvoMiG@;i04h-@=+Q`h0@v~Utno=LTP;riKW(% z(xMuw@2ew8`6z8{CwX->t$tlzh31U)>{sl7rs=`}Kb@sgjXi5YO*cS2TjiG3>~YAW zPcQ<~DQ(1OCE&9Yv6or$YN!|b`Z;G3uJr#|PQ-pMEy-P$v%s`E^-s!}_dK{_)tHMq zm*s-X3I>%7$`%h}?XljK=x@$k$sUu=!(WuntvealHE5OeeD1ECm#ptw>+ZS0VQzhg%5r80x? zUx`I-bi(5Y2-|}&(o{*7hR;4;9Qf|QlC~)EMa#PuI;}K8-ahcH*cwZ-V@D=HRL;5eH9v)B7M}y(X~KSMFO=6z#jvi!|w2kVZ^W{w5H^;5US(#bi<{c+*N|V<=?p}5gc=jze z=j`Z?qK?uI3VXQE?*`Wo-Za>cQwhq8m*#W%t|^+eYt5?9bd58dD`{reIT~~Nf6@tq zgf>g$xx3Tg^6WBf_PlD?>3I$RjpSe8#t3npz`8hdT7XVv+TT?(uo-8c(h~ztW$xpq z(8Cxb79_8ZS`eV{CfYEuH{!OD?Z|(Hzd5F$=4s*F-_&dGJzBB zv$}-)t*?NKPK_a-nqQ9d74}=_z*dl5hO0+?1G_I^$-Lj1!Dp?>aH{VUCuw>*%}CW4 z5K7$^MbC@DIFzEy+Rc82qh#W!i!3iRvSo<96zSDuEbI!!s>5l~H1iB`o;JEf`)vQl zejoCU$O#)!7O?}-%9mMMRiSbn+N<@*3#^ClWIb0Z1y46GSXf&7`(SV7$nk+tSmnr} z0hdIYaG!CfT1kFeCF{tYfwdzS%Jn0&1Kh|G**S7qAXZ#Aa=gsJcP6bYM@%ovEE_6j zmt~38@@vJcD>>pgn|;>x_Vs}w^q&Am)Wo;vo{c*j*KRsy>Mu6p{$g%-TzA|>(>arM zPMp{DYnI3y~fNWXa$1XYh zh|cS9qT<+PvU7}4E)&x+u5o;=lSZS7>@r2#3=ro?4?=R4nU>2P zshr-yHlY^T=6$7~crF5Q5&}P@uwr*+1>T^uZ&i+W{AdyRAA5;4CtUDytT{#JdVR#I z`3N$9*?_cIaqZE!F0WJCnbJi1`upE{pGNvmc)wT5%t1p|(_LlV(G^~fAH3bxyRP z6j}Yr@;Wcj&R^nL&n0KOv$xn@d8v}Kk!;Efkky}UqW>RY5aT;69iiD^wC@M@#jI?5 zNvAcB?`-!j@ho0LK1IZTuN3W)Za(>^*)k zA?rbSuzM#6!=xcCiJ5k@{r)`9*B5B4n{2yvY5x5Mp4X)KgP(R?Xq{{;!u_;SDe~0& z^RDF2Ebtf(?&$iq^>t~xkN(FQ{r{wQe4lnU^=sz>jvpMlA zEEN`?W%J-@-<+0%>H_mQz;`=DR^YLxYTHdm=Put*2mbt+zjl+qV z>X;un#B}g(jQM@PiaE#(8W$+`<@@ZuG@p7(o;vo2_euPRGH-Y5l=+JmEn&*%%(Xr; zf5nROhvr*X%wPOykAUU#=gwcaa{fGP*0n3LVwi%7ldSU}FMnj|qWR3sNfWJ$=FeMU zUAlb9T)fZBoIG-r-8yeM;xA_=J-YCb`OEcZx2#0URway?i6_kB#}+Mmq$;l)2#?DpEqy$Q02c;GR#QB3yk`?+0YyRlagVM z8+!Npz(Adf2y~Y}n4AH$78ZO|h z`paxLYO~64f9%-UCk-q6+}o$T&qIA4>9e9wg`uHOs_9A}q0g#5PxV>br`d3L*vVmE z4*Po8@nLN_QqK1yPL8-HpYl>a`FW4ztsOlnPaJuERMtp_6D9fL3AIe z+o%>k9@NwD^-PM6Z(s)L_(sO6^2u%pE$O#@wUh+nD=wJe?`i@$Jk!9nWAE z=(vbkq~l^{sg6sSM|C`td0fY{m?w372gB+3PQ7gknY)-8J^XH_UdQ(^E*;NiygL33 zvtGydG8=V#AG2A<_cPDw_yOhx9hWjM>9~y9spE3ybsf)P-q!J4<~<$HV?-U#XFk&L zgUo&%Kg4{l;{{=OVHkcm4F5I^FABqtgyF?ucu5#u8itpJ;pJg?MHqfG3_lizSBBwL zVfgVdygCd&5r%&khMx?>Ple&9!|<9g%!Oe-3_HTGGYnUR;mR;v6^5(Ba7`Gl4a0R| zcx@Q255w!ia6=ew48yK4EQDcq81{r=Zy5H4;ifR`55w!jaB~>m5Qd)#!yCi!rZD_$ z7;Xu}o5S#yFuXMkZwtfEh2h_a;q77g`7r!K7~TRg!w-dFAq>A0hQA2I9bq`WS18R4UyT95ycBigeXaAx7EII5;(I9Gn(5_DyG=4#o!wn5H0} zf$QTBGt3mF%q0rGODS`Su1ipvDGq%KnVBk3@T@R=2ZPs?52X(UQ29{$PvhM=O8WOI zm>y7?6i%N)eWWLRzZ$57KcMSBlx9ru38h(scNZ&Z&Qb7_!1>Ia9%ZID^eJTKszAZ> z!ti`uccSz)`cwMP;@ujgIfS{J*=gXEceFRX2-om)z!kyx6p7l7-c@lSvsuTtFk5we zEAyO=r!d=fJe7Gt$J3Y>bv&JUNyoP{FY9;)vs1^#%&R({#k{WLJD4|tKMX7LUxQ_S zTaPoI3E}IRcl7YvnD=yiJM)2#XE36Ui$<5|o;9pB092bROiz(lHa`?DVB z1}21WWIor!Z(J z@l@uhj;Arlb$lChQpdM5?K&=Ez6G|RUh~xEXKcYbp4H=wV?y{=M%KfpGT-a?HYT9s z>C6Qk&tNXwT zdi9)6@YiJHc*N(JBZ$Q?cPUsMa~%r) zQVD-a!T(V3=L-H>!Dkh$j>`#gl#Zl?k5X`(g6~xDQ3XG);NuG3px_em2}DXm2`eOKm9Ah9lHeW%6^9+-9oWl~2(g(7RC7!2G zA(P9_Qz5X8U7%w-yIjX3*_ApT#j2Vey=!MVJ^XsMO2;>_bvnL@eNM+Wv)gr?&%U7J zN$iU{E@WTQ@nrU89pB1^Y_n6@SM~5|?CUz7!M>^EBK92}m#`n|cqY3~$FtZkbbKfK zpE|yaRXK?2csF}e51-Ap>-ab986Drp$~wNE{a(kVY(U3l>_r`yvp?u~E_+$W3)tYI z2J%fcgltNwhHHYYUC3ArRvlXnWbdI*A(Ljv*6}dIFddIDT(9FiL&)wl(lA*MA7u#H zzOFOO(8I?X9tgv8!Z1o2%y+C|k&dr3tkm&%!xK8b-T+^_VEmg5PwV(*LqiyLhvD^M zc%zOd7`E&9Cc}$5o@jVU$AyMhb$pBA107E?e5m88h66gDW;h;(+jV@K;aeR~F~~YD zG2p+J2kTN|xUA!u28KEKZGqlOoBywdQJj#nATc1WK>W~D*Y@#BU+>v*N%fR3Lq9M*A_;VT_i8zddq z7>?_>&d?r)&**rqLDq4N;ew9q3_s|&!Ejl}jfMz}18O;4h6EkE4Fh!SF{J3Y$&jjJ zzhQ`uHyE;Y{EWe_<4uOqI)2tLPRE-J6Lq}JFj>dX8H#nh)i6`XFBt9#!}o>ZG9ABY zn4{xA7#`H|ONOO7{-fbh9lva-(D6fR6uUIIQEnhQI50zd_P*7Td1lPYq{)?^MQ3D@)7Z za}}J%nt+!Ab4)rL?6QnVmBVM75+}=mDIbIX<;vtU*#@X<%16ZSWECo!2aTcsReVkM zN{CCc;$0=pnT7$ubVRxa`Aq_(e*KXW=Uzi!dWMgPuhz#UVB-ISN*rg8vQhX~O86^= z6vU&Ch_BXm60p`5gf-d{wnpFwpG-Wd+zLGQZS?4n|pIOeFIdd}S*qQfz%_s-1lMF`r9F4el z-*>EymznC7=M?1OFZ&*FT*iKkiOOp)ynpxF7v{9$(?+%`v?*Y$} z-vaEkSZw2?5U#fIr3kyNJ)Xav2b;b4wTPdR!LtCXH6H&0wM_N;d0DRd{WzZc`0*9$ zSU-+E5`KJ@I>C>xRs(+gP_@O6AFfXE<435|{P>aTbU)sp&h+C)sjYr|jXKAVH!1A? zlivc>qAu{`t?D8_zD`~2$J^AUetf;U+>dWiSNidGb+sSwP}lnLPW631-leYh<43C- z{dl*!$&dG{Tl{#Ry48>OtK0neCUu7&KSuq?j~}b<_T%4C+x+-(>Rvy7qPowIpQP^h z<6G1Ne*9$hpdUX=J?zKNR*(AebJSyg{5BsTh-;ZCap7rCGsptLpvmi z_|59~e*6~op&$Q&`q+=(s{ZK5f2jV;kKd*~_v3e}FZ}pj>PtUU2X?Xs5uX6nOPgKB<|5Szi`28y4$A6|`e*6Iy_u~(%gdcxICH?rLs=$vwri%Rd zFIBN0e_WOL@h8+^KmMdD^W#scVSfB+Rqn^1RU`fQb83_ye_oCD<1eVOetf$c@5f(M z6B(b}yRmC>Q&ZEyiw@koZBmcw?`&(X?X8?$sTvykTbg=1yL)OER;o#pCi{O)-My8Q z*YY}PCAii!HaE0&^tN<&_jUD>ck-IPw)W=cwr&%dTz}A_#S11k_IK~u-nOP`&&o;D zCru$Z86d-Hm6Ox@$uha8x0$r=#*XGmO=@jZQ&mG#=f=okXCK)*eMe$$Ib4R zpl;8pRnu!`)bTpKPBpD->=uS9!%#J@Zc1Hc6)5eU9c#-y@u}lx&+VC7RYx6D!BREF z|J6;YobLbXR9{C=+u9Cn`Se7qjpAx5wyak9ZmiQXQkf?FQ+>^zMOkLmP@tw-%&LJv zrEgVcq;5)DOGeCEAqsahWqO9@=^3VH$V;?N^{ngc?)7s!!{m0xxLwNGaP5}NOv64i zBL!$Q1?X2z|4{`VtfR(Y@I|%QZkuzTa})u@qT(0D$WFH*KF%(YVT`qvEDgpotoU&W1JWsDh^#e zX&PKRgRi-z6?{Ey$F?-k)+#zJ)K-sEo0va~g#AowoN8|C7gVM2RZawEDUutyYZn^+3LK=A6B`sahIeq>TbV+{4WBZ2gwvM%vH@0kS+Sr8-$Z{Wg^ppb+TK>s*5_%%^1d26_|t z2Cg$Q>KuhSWt{5Ez*^^kcK}&gV|iK6;xc6Qv8Z=oQJg?dt;(oVc5$NB$D-;?X@j+< z+Ond?fvhpCJ{BDSu+v3rSFdr}%86*_@DZyvjb_Uh52OtZ?JbQx>HN|8>Dpq+E;MG7 z4xbkDb5&`a+9qY>(nRK&9-kKU^C*qDk}kzkGJEkGNs~WE}Lcw#paD9-})-k z#J#L#(@c?=oNa78#(G6nR%vA5{et*b_wIyu??ym9^lt8I=`o()(AwDTxz1GE=cO~0 zK{CaF{QT=Kx3POIls7c2?dWT2=x=OjZ|h;#TP^CV*`QQ^3>A!m_tJn0k)&j7)s@TDzBkt>1+hTg^%5i`v&Zui`Z(Q3`3uggKRW%SC z32f($P8c%nEgcrFo)4;s)t)5O_AP99pQTElZtY(r<3;ZKO2n z%jR+7Oglt0G@v7@-G@1cSfh-IV6$PyJch$-9obO1Gyz58SE4sJL$}t2PPn%fJ)4Pm z9enG?-r6pkh?syhHUNg-lO)NZ7XPuvhAv-c!*WmSx3c&3_K+2U$JW!^oi!}672Cv{ zBxzXD-EWNeb{qOz{e1apdObELtX*q2_H;EiwZOsfj?dZ#J6*^auBEOG(N194N17hW z&SKbEWlVTPQJ>H3kHBWi!w$-u@dzi1-5W2EJ?V$oJ9E@9nlcz{cf_s-9H>c8gWvZ6 zNgb7JUtr15k!CUGSNda%r^N4xY&T2Cf)ey&z9DHAV=J8sENFY462D)nG{#ClDMOI2 z#AmS`WtA}&Jy?1Ug|_46z^}LrZ#|~Bvk`qw4+mWIH_dIWt)_2do^8&(y3g>Ymd1@4 z4uzIvyjZ3_$dEWVa%6q1u?x)8nEy>}&Asc4pGlFn824ihNY8;(ky~km%r9F7!t6#^ zIYn9_<#E4b+o|+U0HminMzBb3O=C}s;TWgbp9nJqkM(1Ajx@h-+S;D3-KH^J8_{w& zr$W#H1r5v7GoPO2^QJGEzMDw4z(1J!w4!k~p^ZxD6>F0zQFOGu-Pz?UGXrbs^!`Ot z4ygQ=WI3hkYiD(~b>OW!&bqk7W)?*Qa$mn3%jM@GwiDrK!I+ETdkc&EhL6|~{~^rK z`%Of|QRjfk@Wnyj3%LCda%r&@VZXf*S^&*k)T;P^ObFhV}^nSzi%Z>h0d zAVX)=gU>;nk8N%w(*CNE4@VuI=jrF;3EKN#HvH0t-`Fq!vqyr?t?YapF$rN6!lMvk zg;BO%k41Pf;86(gL&#-+ZY}2W=ld4sey8z($0J1MR1HEt4*w&Z5Y6++GLYB;aCrE>nYWt_`{6jri*kQszs9TM!ojSqOw*rOR4BR$^Fj+l_kdOFZgQpO`SJKqyfi_%kLy)BW1~OpJFX=BA1MfWs z8b)UPmYYDXtP4l=P4Vow4g4!e$;UQE1g|1wdntxem?S@jqX3s9T!3)9V2%G27n;Xo z_}HRsUp&^r4XPA3W4E~2;qXN10lFD=HqR`rH2EBUn z!WrN@r(CJitR4n;)`+;(~Z7zgrmufU#kh^`Vy{=`sQTM z?uFpH6u2D-pS65m`OX4-D>8o+!uU*6fBPatrOS`uwSZ|?q891GPW~ugTxa-KAnX@x z+Wt)akAu#7`XfT-V-ym7o&2-SxUd4@kpS;Ncr3#4sO-ZLo`%rdLIqI9ct?#o&&$_= zbB#Z&L&$b%?u2ty>|S7HL`C(Z-DLmR|J7SG^T{5xvy zWnTK<)T2%;z08JeXWjG7{NW~qtp6twvOHcwNI&O~@b3X%jBo%A_GyHR_crBuIzrE` zrPhuj(9Z#$_53-)0}=9fIsW%uXy7Xm?k8AtVHrB=A~d&vAl?Q2kBGgp59R;}@7UMy ze~plKhZdoav+4avB+~s9>Gwl9%h~%aM#NEX-|Fdq7IfCvl^{$(1w6gfw8O6v_Pb~! zD~$fpFun_X7ax@F52WOg^9bxu>TZ^tmARB4n99h>-OdJ4DH=0EqfWGcewce@8v?kSD(Y ze6*K8&wiE@e^X2#b};IdSJL7sx75n7w&5CtEI03G%Js*;@X~t_bO*$T5uSo@AuIK_A+kDu1CoHZbw*)Fn)ybA4KIh5m!gef7z2O0iEeiu_2kfa%6lf z{vGwRS3LfG!N>AF2H{+U_acOgs~8IJScIn_T!Zjkgo_bYVJka*s2?HA>wbiLA^aNQ z<7f{LH=23*Xb9B<&mY@8e+<_FPy4)|vHy&no;?GgGvB8nL=#i5Azbb;BV?K3NSC)f z{_#!e{*i2~8%K?K+oRWl?!9JyCK>FHd2!Sq-tqXBHk)?54k7b%Bf{4Z4zwU2%vY=N z|KB52fPcSMsfh@^pRsG+hn~LTwzS`qhdLc$=Dqn(@ZZ?i+lg~;x_cY22*i_gT6)y; zz}tg%8gM|5M-e%Cfukt>1H|Z4e9qLl5o-i(z3TilOf(>+xEsve<3}P&^`KbE+R)y) zslBDYrCps4%*MvH4z(95)* zILoJjr}Xe3ApTyEB)LrR7;<|vpr-cDrVYl%2Yiqx0;!LE5QqMBc0fIEgbhC)H8ptH zRX%o8BXYXc2b){k8#gz=$R_Esjif^IB*ZL$#b^(KBsx`>zQ$BuEWA{b~ zfvn#2kx~iAp{Px&v<3^T9UZ7yRzTVo5BqZ;%f|&+0`my(`vft)u|w)qEycaN(Sqex zv-3f%On5l5_8!yKxe3QUo#11oOf2?d+@q&$>u%iC(B0G1rhb8b+n}wtz2PI;v3iNe zwcXvQ+1|F!4)sYkN#r#u!Den_V|#lGL%<82wLsx-zPRPPrDt1brwu%!tvcm!puzaQn~;)~vi+l&5`=|jeTXmX=OXDAV@h|+(S3AxIncbh^`(Pw$ui+iO!}5^zb;;jc+P=c&X0YGZ4~& z{3Qd?-PfTSkws6ULzMKW?_}V*FlZ@mF!z{ug!Bh`z9I7t^BQI@t^EUEu;$u`%;{=@n(#bjKQ?2xHhc(^upI(V)GWL$(b z|Edoe=lpvnERHZ5GKSx)^`m?Yc9&qhq{00C9RH5u8rl++2PRI6W|~HEh6hQ9vdx2< zI=eQj&pk-`mPybqa!u>d68b!-3&8CjfON4{L-Ac1VeRVcS*K=s5C&ky*HeUqM;mK< z*Qr-LxUHiHPY~1ySZJ*4ZQ0mB$Ab|{9e{sFaXIb=W&uK+c67p5)%Puc5fsyaCoCib z*5?*z>%hYgH6D_bl2Zh!v`dt7jcz&^`TCt5XiU*mWgsM_br}eg+PN8+_Rh`?eO(G) z#_`plA{!ce@i=EqUoU1&{9&4njBs6VPk7itFsU1@vZ1E~Pr=X$vxtt{6=_TLIuGC3 z*US7wpg@G}@I>{OBO_+HZzg6vU%(bTA>Gi@izi(TP1yg@-LS5?TjhW&1CP4K5KjWj zVON4`%0hw-LxoiHxw{h3ANDo1sQa_Np?*&^{TckYq>MvPnPMOtsOuy6Vt?O)W?rB)l-PzdOgjtX(f}f?Sw5CUm&c;EBasH|B zN3%#f3><8a7z_Ja=$z6i&dtPh^lfbIZfQ}6W+7WPH87(Mev)#J;;ZTFLgltdquZ4) z9|gKA-!7`!GFc>Xo>1fqp^>DMbx=?~#q;EqyCUg4TQiYTqAzD*P-JQt$~dhJC9)PX zbIfMhrqu}iJBn+}ze8E@JK5n8OMfs8mXP0I&HA)5Ce3VjeVWybE z!a#3RS6f$u?NJW(5%dRxZ0+l4QkNS-Lth8`X5{G|i?HA%O!lFP<=%+#bpT*rvkz{! zU`NX)H5*fSl6(h3AHPXm0c;y~5Y`CvBp_p#!8M_^sXwKW(wpaC(r=l%`fI!#yn_k8 z!EzLDKuRi!*?k5IQB`M9n8D*N1YO64K1*L)m!x(9ipOdfv&<~zdLL`xZ9Z)01gr?@ zM9ormH|RgbZ5Xt&XP;W7hN0XDHLcsw)3;F_Z$YGL5RZ8XrfP9JEe`fr49^~*7G%$2 zide+JNHZF50WW*605)AVCUL#e(SK-JSQV^*K2{4gdKG+~Mc4{{!Gc~sC!(Cmzpl5l zqetzZ0ktc>`9qov!NYN5M4+)MEKW9usdp{Z-Y)Gli29@PGXF)duLfBJ zt;3z~#>Or+E(6usg;vwripHbrEV`%BW^###V6GZ0U`n?O8@x>i`5TOn=4rxc6nHth z2`1m%QzIMaup)xV)?FFcE({}W$H=Uq5RUkIF!A6jivW%QLV(@`DVdVTJiQ=*qkl z4bqxYn?Vp2U_LPu=j*+DH!N6xw;R&x<%vpOg5H?e(AL(h4g!IB-q_gNw2q$1$?J<* z-0kQ}8hW~$)GXUPS#N9v*sk5sgYKxU3HQ>?YQ?vZyIQ*2I-A>?)Vd6!kMz0;D&%wr zvq|2rW44N|_2#zjk_|HGlSNR8AFSyt!s8e zvk&Es<2$=iUCmU1UkHj;VcJL1_` ztYEI$EL}d$U6Mh^`y*HdhpMf+A#K7>>_%W3#Tng%(SciN-mJFmM(`__&E-Y>n`es4 zp_g-bT53iwb4$xzUum4bG$+Ictg$w?W6^VF78BM-JJHjdTgZVdk__1IW}#YODY}}j z_RYRmO3NE+3)2|$ZCog(g;`9}D=}O9OSbqZ^KRsyPwSb5j%Ijhk7wBa8Cdk5*4+LK ztgp_@j`<|>9n6gHva@5Xi)T)JcS@RkT*>na=E3*iYTSp!`o3!_FO z?x3-%$ZX+NA`SV%JWsu2c{>zo`eT}9%vSn{+xx76{TSi zvm)M-5*J@B3NuK%rqr88V*MMBAey1eJce}?AGPv~g!_TPYe8#F5q{u7=Eivh7=$P{ zSv*$8vNBM2d$4y4NbPfhG!J4wNB%DXghvRSxH)Orh($P)3eSwm!g?)2@wAs+D6)hx z2-Wc|c)Y66-q)gdZc7%GQvyRec~{b!zKvb#mR+%S`uyUqc+W7I*YOF5*X8FUb6H9H zoSkd1K!#nmX+hFgfY$K&9Zp0?Lc^C%f_-#V60#;ime2SeZ^-me;tNl17;YHg20uNc|xUr?ZMH0o+0G_mwM&YeG^tQ)m;hHdk!jp|3XCX1im-!6S=#w<~#G3wz zaT4p29UC~gz#I&#qwi#4Q2BIfpM{>%f#+#55mE_(uH8^P%nr?}IDk8YfJAc3y%4 zg15@!TQ(6{yG=I$GA|HjK1hEQ%wzB`!?`S>*om3xdADSd?1U`6$g)=S@Im`36xttQ z8BNDc9_OE!5x`gYG{!@!^H49W7?tgpL81b+(yAc0>1LU_K9^>BaJ01@8L(V@kNyAR>w99ze$ zj_ijZ-~_p<zM{o^0kyW@=i3RI4wu>V0Ru546kfhNstduFEX3V<_~1<-!o=i< zK!sNu2s=Ek13D5}{5TmY6j`z!Q8F@Xk)OgpY5$7FxHfxQ)R7*b~R^M3s7hO7tL{=mnq8LjsdJaoD=zir6 zs6jmH?lt_El!L10Q$M`{=M7CIqDC_%zI?*e__!d|1T1rV7P$s50a;hkrL-RMC4*WT z9dx^)y%>*`l&)i;1l>OZQO26)=9!_~qo>udI3=b&1&j{59pH%HjQFq&twHy0XF#d{ z1f!$Qhm>vN=OOBC3<6CyCGokAZQ{0FyQW?L8;#kv;DEk~mW{*3{Fraf8G~mDz7f&u zNC|0vX+lrd#IS%k{Ux&sprd!x*PvQcCnHOlrqbznyxhO)js6X*-A9m&`yyRD=mtJv zuN1=mAQkx3G=CK?K|+E5GLR1Ql(fKS26B|k$6|rc*=8d;6w#5W^AO^;M~FF5=XroR z#7SQloWGM~)7M3uu%n&ppdro-vBl=The#No4yi{Nb%>H4F*Ii<5P9qo!_z8(WsexH z$wdsU!t{udbdMOB^*Gp*(jP|Z@HKSw3DRlIk~l>2*&jyc>LS-5~sJyGXwTvO8fMOV4V zbeO$g^k7Vbn1?WXzvyZMIVwdLiNqGqAR~ohOW4TB$c)66l3;qj*fQpiy4gu$LPyRAJV*^Dh5yVR>qA2eg?U_j&L?b?PDLa< z6dH3rGg@Nd<4OC%K=JS^Q9xfAC=tT8oSeTJAQ{5iugdwmfeP}sLRpTZpA?-%`Qs}A z1~qR|or>c84W!0(5Hkj+q9p%M%ua#cL%_kPr+Vc3Xz&!tWhWpM+$JDOqYiUwIGC9t zOFY5HG8;woEsu1OkXWFPlEmUHVhk!5A|7?Phon%Gf%X{&Qb2m%C(%|z65TRejXHnu z=``NZ#b!&-0oHvKy5f^-5RE#sa2>2ykXiK9(@ z?Odo~O|tIdvtIxkYx2DbfaV%nh&2+QZ|Wc-H4Fo4X)0zq$Kl<~MggFTWo{DtF0Cl1NB$pS#>} zBEuajccsaFOmd&Q%H%#Sxz9bs|)6$jx$g|l}%vQHtC0;h@%AG(d0`!uakJ&cSv>i59gdBD|(7D3wkEk`7bqWf3#fdALK5<8v;hoS8C zecHVl>4s_r;FzMqdL@BgO$Yfa{^>mt&GjUGlIHAy$YOvbd<-~>^SG2gnWWH~3w0AF zlo6ezlJoQ$Aj!)&gvmb&IX3(kkQ6uk%@^txNX7J#l)B0<6-sc!a1L(ofES6@3-#Iy z^|}jnn@D^>yS(F(O&VJv5r-e$NQ;gGkh>q%-bCW*oyqUc8%mmkxSUbUW#kFp%@ z2QlwFOJ|^eoxBRcry`WEe?biaPHN1LD!4_tKvV=Yb;JM{wkaJGs0t81k@5-!M`R1K zZz#Cf5NKsT;G#p=jwX&6lF}LakYpe4&~t7IT=;%h!TG=tcvW!c)BXDNJ(o zN)A*(H;33Mz?Kw~cNO;|X+3BSG2F(ZS09F`)}dNODW0RX+eQ)|iW2t@UqyIU+>X3CXF@IqgTcsYshp-HHL7)70IhBJ1@F zkaR0f(kh>BKtC_w;p(Ku zL6e)h0M|f@pGXL%wty#;ngPqgsdtcgBvl4Sji$Z?HI}M^R8DF;%#Wx33eMcr0>l%k zUhw3lxD_awx(BlPsbRPlq#lKBg{jXVRg}5~)KrRZ*B7VuhPFYe0mzo5j=;4vbq6#I zPR+x0NGcyWDN9{~_|ViE@DEeFPu=OpMVPv;XU1geo|371N~Z3qtf~7V@PPMM>>N@W zCaF{djM1r=V1|?GLN;AhV(0ej0hOA$JqhsOQZu)|Kp>~o%@pdjl23?A-os(ODF;bNjywSRAu+dw#+U zbNiT`+s_ejFlJu$$ZXJIo^mCA7Gepxu$hB&J`h8KPQTB=j3q4bQXk7KCD_zG(rrRw z>F*$EFGLcIK_z`H=x{YTSCfIZ8U|88`n^x0-G(H(+3$iL1lEW$BZe+ETlyZr+IjwR zM1#&MT+4JjnMDtCo!|x7^L`!iQZw^r z6z&i)Gw=5Waw2Bt&15cYr=Ersg0q3USf?IEqbW_j zh6WT$y$6&|9Rm8@I>mRUumDw#+I7}y_s&N-2@b`erl<@98YAAN#jFAp-|_&Wn~ zBYMzBfJQ&M4Fxi?wMt;RRLIO$R5^WLhm8UXe`ytL_7#Lh!DGIHuylOB0=5>NYZOrU z8>`?MUqP-Y*g*xKj1^|qtW_}bgVBPb8;0z3tDH?v7aE<^7l6gg)d-ZQ$yK4~`~o^F zxMv5(r>rK-D*EO|A~hS-y!Xwj13_83AwBL7z;W}r8c*sSpxjI9Sz0JNup zFBwiiT;CRu3={djC5&l?kK*=B6QPhla<51FBaSl!T6#Pcm*-ONGNXc=Jky5Ud}D3I zNM?FjfR%A7xJUeu=`9Pe54Z~Ogl^tP9T2Do{4(It-1&&b1Zw{Z@Weg?^U>0tr?Ya- z3yg+-d==+QYC2L&sWVC5fiv0i&uGtMT61bZ;Ps%n@zcFQh2`Oq!btzDj9Zg%f z%Y%kK3~7=1E06&iy~>faejqY?oQOa_X3CW^JPSxN$bA#~5aUQh@(>^rIA~62YQnCr z!^Dvuf`ZX!fT#}__z!^hT**RwI&>+}lb7Oh3jRm0B-33XDkz3AVpTJ6UBv%5Bvx^M z7k*P%bd@^HdPVmpI9!-M-!&Y`&CnolDyQ*kjy{qqh66I>% z>DB&BbE>|Q8D8XSX7u;?XJXa*H`E++#dV40jv!L_ZsG*o%*0)q+6EO9xeXq@6yb@F zF@9JS<<3oQ6K@HF5i=sH0L}1MkppXEXAJNix1Ij5`eD4l;g} z%{U*EyJ=mG9VBoP_bFIM@XQhYvB3Na)`&$k<&yw;M*trE05kHrsNMz`L)apaWR-l9 zo)3&J3rbUcvS@^sV{2@lf*j^ZG)d;cwyJQsEVX6vT|P2e*zePWRH zG8yp1*{tXjgKX`pd>%8lFeqIvweoB#YUaD3BV_$)VXzr)wiJ@nxB#^<$a|C10FICq zsD;5_0=^xvNoe07yP5X@PrelT*nx~L2SzUno{r$}B(O7ng#>95D}!tj(*fgGsgI;Z zBSTqE&v#HwpEyz)SqGV`br)I3hQtGCI1kjK@jnFnXz4=73;RiAFJFmN^aaM1$;o54 z$UQ3$%B9P^z-6KRn3f$&)fc(U%X5UK%lw`=^e2F8a!J2fVB^D6plt^J_3bV{10kMD zo7D7caWOgF=`yE-iB;vFQp5L5&qGsQ$3M;Co3*A#|DN>dGl4heeNTGy0|1*I{SxWX zd4FYk?HdCxA&zqS2!*VtnQ~!T{89BgP(6A*o6U47m!E-sH1AX`{4QbX%Vvbww*+9K zn!$N=bO+r>>lqD@_JKaQn-7@9z0xGv;vd5YXMPXr^pR9#meFQ>M9=&U%K(~|Gyfm) zK3MD8)7cG=mx|Q7jt@rl1c8iX?Q4{oWa+gpknTbGuOfl(Az8VN#hdwOGug@dRIx;E za_{n}1FCLsG#P!admT_gHy#*Jv$&{;2STrs->fRed4DyV`PP4%LIZ>DiCFKL&CL6l z0b*DJKISA~W@Hw_?2HTt-7OTz$aTB+^ozj2i=p2%FJib zJryXm1SUJ`X*j6qs`QP>ZRWD#PLvgo-O5~6{I&&|f8+6@U26$$aauK(r$bzgz;u}f zhMS8Jdk1HokgysN=4ynKUX2KIHNsi1alda?BO;W03ja}FPQYrJ_XshEs}ary-2;4F zpU?U`+{{Qc-_Fh*gvtVj^0_A)s>EMQ#}kVgZx9}=qMT0XcFh*Yv-H?Bl6p8g4L#Z@ zCU&l+oR2i}C5_P{Uk7}F=o>4rURPKQ)C~L=Nq;s8vsAn<44C&MxD@MkOmM!|)Y*@J zrud$(cfRO31^7WSEE`z27A^*=1OEl0cVE$~+tAOw39Zz_6aT1d1YEfEb0BX6qEtci zLyYjjz)Oe>=3`2J+!LmJPE)$IrYH{zr1(~dn=E#VDYGWN+W1zMbdFTFTADDSM(N@z93VonTVEgQLG#Sx4;5p z`K!WwFo%=d8dTw#5as7Ri@pO` z50=5`4IxEXDkU&d8WYx?q_607Xt&nGq%-LfO9A~8n)(R}!cm>5K-;0rMQLPqC}UBi z%LapK5>j;LRO9NJJpci&yauLmW)#HAYXGMvgQEg;yV1(*t_s=RRc1?-YqnIm0ohXJ znk`kX*;3_j#n!Fk`n0o?(OKWe)!Q89)@g3yk}rR$_KeQ>Ut!X;|zjqybKrQVLcxWpy6fVWPr z6`SwZaW;3NY^8yn zjLv!m*QgVdMSARpV`1cQNejE-7>7^}7pAZqjw|b^@SF=MHy;mJ2?pZuN$iIEPav@v zmBSW{?Qcu~I~a1fNbiGD96<3Z5V_9&kWFx7m3_>RrwJU5|D;?V1XuDYT)<1sxz53$ z1+POi5?=fx#6v8V@RA;2SQ4~2yp#l(6zNjoWybgrM31NF?@&+4NF5&_33Fr?qZAdT zv&n?aK{T?L5sgJ!Rb)=7aK&XMFEW>fi^K0#Qr{qoNM?^N%5z@nW%q)kuop-@hZ$Z?FXFAhoChJq?|&7_oxmKH!A}6DaQ8Hi7W3nS z#r()GJLW;GAG`S=gS@GH?zK#M+1(*PbaOCw4(UrNkr6wxt{J>f{>=B(CW#0Iay251XC!JD+$a4poZK6vEYT$UbHUz zl1eIBDna+0z@O znB%Gsr6(GSnzKF8bF3aehe{0~jVp%1U;qD}=>JPSQNHG>-TJrUWV+xz0=1g0k7qX1 z+t`s5K0*AfM)*P-T&$=An+)}Af+6!@o*uXt`#YYog^A(qp>3f#5?|B>wO4;`;e-N5@`eBLmJqJ`xU(Ff9{rxc=HDBxD$KZV^3hqF1Z0~Wu6CNwvFiKaz7k(yR<=32r|U0=eq z2EfULFC%ah#me*nVApqQN*rjVcvMj-mtyZE-*3TJ!a-5r*$9bnk%)bVV)tr_@VILx z#x3+Bb}z+-8@@}(_j6620n2xc$MeGpMMyj3aFSbeWj3g0#hN8C{-i=J+McM0W5;10n(dLEsMe_d2@E$1t zqm+dA*W~>>d53Y(V!Aw?n`RH!Ln-{uZo*|cpM*bv-~7nU=a{1L57am&Wh&?+0u2CE zc~+M-!hweH$EG(MxewJk2bNYBS^KR^*~!!@`cOmk;XLDalufQj@_Sn5rr8Hcntk>*@BVKn8%+HM-o3k9Yxu zpj#gtP$PJvL(rYe=(`f#i&6HuL3b9S_>I*6!Gf7(QXz&vrZP-FKuW!#Fl`>oPs(dZ zzXEpFOYNVHjE`cj>ZR0l>mE|I_4-;Uvd=F^-^{Em&_4hw=+-gmF?xWw^;%OcPx`0o zD)cr~yS3$d@Vst6QKz$!9nO*HVRQt;&$AY=xpn68P60>-FHvSfQ|^#6Mf1pynK`&vJ$0H5TqzP0!&cC+Hbn zASAIQF@8Gu_#Fb3{~e(CUjRAmB2#fDTF4tDJ&NpFX94OI;2ibWbOrJA?wJ+DdCU1@ z|N9m6|F8-X*7+RT=W6CRiD?J(ydC+8V3szQkpetZj>;@;ZX>{2fLNOD!8NR3p?e;v zDaTK8Q1VpBviiDoVD1F{_W+4{V--R~xr8Jx08DTEZ03kw2UC*^Y zdo!S-`f~EF)YMJhe#^fNa7_4Dl7ASM6`}ZgGPj@<%#VscM>Hb4T3Rv`=g6KEgRvWJ zqWU&U_e)YthMzW}22LnUQvKAh5J|<`l|F{K>R`H2eFP=%6G_1Gqhy~ic^@S&wvzW# zQa)UPRR#eZEUZCIHPyVfo0{8wH7s)ZR0R!qooe=?WLSTPl7G}R`E6@9cVHQ_|41o* z$Rd(oL$+Fgb|Vh;{1^3{267zc2(ZH_T}h=kXe#7qU6`X;zS0}0w5kkgh|(LVbUi>A zb1&u2)s)%K%AMlNolCh}e7SQew;f5rame{ati4Ai| zj)rARY`6-tIbqon8bE~9dY=J%=Ka-ONc z7kYCY>dw$jJq?8enss)wm{}1zUmsoQ^nG)BpJKB>Ld@K`q3x-drYL3nwgM zhV|Sh29f@ji23$#qJ7ilWsmzSvI39DogmHdcoDg6-BTBhp(kc}T_A}h~< zWqQlV`lQjACQG93ZKU320xg;n{Gt=3PVl8# zC{=*>hKl|sY&2s$!H;~f9w2qDrOrbEs6nhWzQ$K_Dj-arN71mlYkR!BYnTjyop-k`tV5a9CK)ysdE_u3yk~NwV{HhnF5~y7x zRYR#7Fy^yJ^mG=MaRzCJod;b`MGW>*LsaS@Bwch+mXJcunZW1D`<21IXAqa(Wv_OK5FYJ#mA%`i2oF$+ZK98t0zR0OZ07hn@C-*uOYbbiy<-kZX(Zd;K zGLNB5qDMR|CFCT=5<2o$p#>n^gjhrqmf8pATEvT3thmKs)8NPM*gW0=KGlOY2r9n1 z8~|Fw24?0l0IHaUhLtL^@3bOCg~*1!P!s0564e}pj&zVbI=hgDe+=0Y>8UTQr;=6g(riC@gbTY<$A)Ah&-w&@@)KPu;`-Gjg;nLo#{-GP$PYqHp`vutaq zZ5!E!=);&C~!2vat zOYwTOi)I}X#5IK+Mu)wKi~iYQps@k$A`B~q7ehQtp_&#(wW(pa!?I({=jb^zI}7e2 z8$A_F_RN^9p{5=-eCsJQ<4E`?$IIA>V9>E`KIDYM&U6SxBJRBF5JvlED7T(56=N!Q z;XmiQxP+Daeb)EF^9VSP;u;S-Pa()9PvScu2Jj3R@w|7C7bh@Je+3}PGpPde_4A0s zPgUMD6nP}DH|FGMRowO(*hl&%5(}Kba@_R5{bgEODAUqHnU)sHw6svBrG+vrEtF|# zp-f8)Wm;M&)6znjmKMsiv{0s{g)%KIlxb<9OiK%8T3RU6(n6V*7Rt1=P^P7YGA%8X zX=$NMOABRMS}4=fLYbBp%Cxjlrlo~4EiE+D(jt8pvadhT?1^=e9*%<1pGd*ru4FBt zgONk?qwf{#*XdZ_k=Hvj3De<6gL*qbqR)j!;!><@seJ2hylmjYyOqffBX5a*iHU8? zO6*+lmC9Qj+c^4pH(14b1gb^fFM8Q1?!Z5d<8!pZ!hb*c7aGM|srYeCCVsS%jPHYS zh-?{toIc%*!{|=lj}pV)2ZG}Kd?pda`Vs2=lPsYS$yHGj?uG8* z&ye=#>Co^L)s@R!g0Ji{m*nJEH;tN4S&g@f-9$cU34BY~6ydEjatGCn&>v853~R37 z;sO~}j76It$<6ViBZLNld3HW>tCwi<@B^OYE77A_M8ENKMkE(~O^^E0Egn9x`Du}R5U{Wkcx^q zLYg17{1sX%%4u1#W}F}18dXZhL7J~}cyA$vo!3DOJM11t=mpH*Fs*3|zeGw+3&CwP z4HHczzNX<>n)cAgFu5^WaztrT#k zYAVld1@S8oPw8#wKyMJ&%jXj#87O{wkM~Rrhatylr0udwg zv!1@yYV09o*y{mcg6=X(EQP!3IiCU%62vufH!*et`R17+bP4#fvM?^g|y%w0LGk|M6&!Kg*K0!S9a5~367Z8J{ z7Ij`C!{_5UXdYFIEa$Q}4m5588Vm`ZsvKBjASahE@&}rp6AsRc{27oA_`v&*Xw4cO6C%9ZMfOSPOL>I{r zT_i(vkqpsAGDH{25M3lgbde0vMKVMe$q-#6Lv)c0(M2*u7s(J^BtvwO4ADh0L>I{r zT_i(vkqpsAGDH{25M3lgbde0vMKVMe$q-#6Lv)c0(M2*u7s(J^WQORd!`#HrMM=M+ zm$9gGCrbqCF_rM;+4AnEK_quGoZNA>?MDIlK z8of6dz3mt3jTh={y*C)W3#{I&n2|fO_3|Sn#@;(bZ##L1>eV#i8Le5$+iXd5$Qy?0 zc*c|&7zd+fpOX@M8c^9%F!tl$*!9A0c9DJ{{&Dnz$c4Y(e*92NG1tx5GuHR--r-)JJ|-BqmWMeg57IhBt-bCSjODm7fd3sQkhKqK&3E z7^^O)3id01@l?R zF#U_s@&95-l#V21o^5&yPx6f0xd3Gp(Kq25J&~7s@1kN{uTHo%ya|-8*Y0#i(HrJ) zfx)faLm(%Ii$d<~Spo%exL)DTHMCG1rvSL~H$x}ZgyK9i!dhm zXb9Hs0Y5SO+3OfZDaP5Q24``N63JuxdbbMBW*uNmfufHgoX1HAmi#6li17hoGC2P( z1ZF4v0xuu3NVZRAbp-eG^1&k-f=l>tfV<*ZIl<*NAFQ04@0iUqw%9FtR%h8yY$XZh+v-n$p zSSO~~bWM?Gtyl^+VcJ~}GEuKtbTM5AuXYem+`ATk6jPZ@HSzH<0f` z%UdMNV#_q)k1ei*D@dV%%b*75B5~!j1Z`7=PWpkt@b-Q%w^-?w4-*q~FLwu2DVs}{ zXqJ@9ji9vE+r#`8&byw>7G93_^T}*5{g1Wqi~~XC7@ELpmWMkZ_6Oty$)FQ>?jE2* z9FVDM3z6Ba^eg`5{yA9r~YxL7(lKj10N$A22wzx4;m6JHzd*CW9Tb<`c|JVR$F>E zu=1=SIQc3><8pRuzWxcBMNd8SBzRF_>GFd$W8fnZwuazzMp>`Y$JEvclrF1{ZY-6o(~yGG@B`0v&g%0p@FJO>nX-)`4pl z5T-_`$5#u&?`&%g_avm6d9gctTg2plky9#GUXSS@(Uee$}fSUqJnf$ zC71=+NLz{`;dwmyB!yeta6MzHn76n$A~uMZuqtuFvRoWqcrxI)+!>cTk>&aX%s)bM z=NOVZ$B^7PhUCsMBzKM>xpNH3onuJu97A&F7?L~3klZQ}DLKn5_b?fY8gSbO#7K)AF5_WT zYa+P+hk8$j-tx0J!kG@L{7}Z@`fk+Oh!vJ`&qxLKi%`-MHF zpHb;&;4?};qtYQ`K|L9+sXwEG&IdRm0m$D!vZf_hr(%8vAG?+Ij z@EQbSr~KSt*Z?MQ9-y1`uZRk|H@O39{2yTEKUSTkHA|uTEp}fzYU~IMW3UAZKf~-l zb3(8V)iQJ*nEu6t;2$0d>IP9C&Iuc#+%YfMe(N_2&L%zUn+3$krq|H4dlBWP*H8^7 z0q6_W?%yonvX%E*F5c3dj_i2fEMS}+sEiwPF^MDSf3tvCbfNZ}1=mOd|N5H+&x0?= znGf0czEt4d9uR25e?l%aJn;xF;HBmqXBlYTHw&&t+2DUiNJhT- z6IsHYfMle5MwXHQ+e7?jfypJm96-H!3(y4wqWj6Du}ekww}p`8>!;DhW|0pEt{;xt zi5|prdEH!03!|$fdL)FS2Qw;1S#a7?z>A9&yl~M^KuyNh^Aslb;;{`TR#~HB?X5r> zA;v@~Pvvv5s^5dLhDi;vjn%-o)vzblMWQ5irN@QuD7=Dp6^R|sDP59%RO|#sS^dKL zOOF)_$r?M6!fqd<=a5)DfLoJq5E;~m?@q>oHV%tJj-2L|zXoqZ<)(t8+&?GY=H%bMYk|F3oTe4>uFT6edSagr($HRr(ebPvrt8> z8&CUUi!C@R`UaC(V!=J4bf4H#3yzNdi5XaC!765 z_GD+a*P3KA+h@UoSZ{B;iuGH?MMg0`2`Gwjoaaj9ClEV5cs3PFs%Hd?O(|lH8g4N+ zwm5V%y17_?ZxbvGanX`R%&nxcT+VVfMBZyr$iUC%-7m< ztD{vcCkdkNPllTw49X&3Mko=5K#O~Q2gkTs^l zL(PqARqmmtqY5O~p&D`zGtLl7ZbN#xhZ_j3|6UT07=VsEjc6cu%~#a77R8@ypJ%A# z69Umhbp9$YhVmVnyLK@~QRz%`*D=bjHILdlRtV%If4c{uPUaGhCB0|v(X`g7C`xh; zm)m2^C_|2zYL4?fuI`^uUAetPu@QeHV(hWU>5zy68jn5<;ktcaAJQ0jSwpmiw!hVx!aJl!~sn(I4=RdvbwcS-az`PXc|WTIU)~JZl%Mw?59u=YJ(MN$urITa#y`?YnI4G0k@wQ%C}dtn z{_2AfpU}QQ2A>{E)YoXvVOQgy zv*w|?gly)R9U|K;VHws_hv5*y9!5SIi-!*~CGV?8I;nBE!@w$d%Q2ViME~C1fktC(^R7F$Xa}%KsLGky2n^F9LQf(yYLi zhriYVFo$*x$3yzRk!`uLhh;NLZ)bku*$-p*DfB%=m)S9KDFjACSwfCxG1|sJTb`!6 z2dQq9Udh75BQs-?$rNt}_c#JY1Om^|jEsk69NP47Icdu778rv)XCvg4pebNLE;-na z!fT^ooS3cgXt0V-?4B;E+z)sRce9G7w+SH&wUS_b0yK})*HasAMt4n$pNTiB7m4ag zU^l84iRx8=$8$=j_t(L+e?OB65T!a4!1+2_ErxlF%fWTU2x1Zyz#&y!!OcifR>gPe9 z%y%_=H180m$n)bKc}iXh59}8Ytc34v65p#tYsWiUaJs68AUX9>+Ig<{nyNO?6}Aed zrRaAFk`3!9UMyWRn@;ng+Oc;Q(GYYln?=jAJ3D>)e^A0=^GoGmk}NJ0g2} z)Y>b6$;YT)djlei5UD#K5p0lzqUkYYWiR~ShE!(V4ZNC8umk@O5yf*T)r>FE;_8u- zq?Y5%X3w`Aa5Mh(c5u)&9;0jQ%&u>q>|&bjPKW;6h~&Y#36|^kvsvqW*7qH@@H5DY zUXuR#o~Z|>gCAYyLU50icJ>}U$ZP;YcHFr)NY z)cc8}&nd^f_y}8nP&x)5pVyx{Z1D5Ix#w-5e~o`u!QbWBr=YtJN{+pja@lLOfenSo zS6XrU3OxvCM9+X5#-a}Ia{zrGE*l#m(|150AosD+GJ6NaTb|gFL7Jv@KF6=Rq(98; zwH;>08iNyn0x$0vVkZV!lHldsaBT)}IrR<%4>r6vlJ_jRxq##((mwUl91iQE71Az1 zdjJ+h=Xnr6^%CvV&m&J-&-n^d$GrDB+5)%?#^im#J72Bma+jPwfI!fljRL7axixdJ z4Z2eS&fUQ}?&64p9Z5j^&lJ!6aupsmm zQVu~kv&47ThipMT*N> zB89KK$kKv){A8eVI1afdFp8O#VdY6I!fKZm+!HA*OAGEfB+AD=%y%_;ycfQ!xf$48 zS))1#F>2aB3XlGv93<=z7)i80Qbk4}U*N$eW|og^QEV{;W>^up~&zBx(k zC?SSq67PRVGm>Pf`d}2>q0a{&Hbq0WFiHhxjj9OWw8H3%|CC&4cK2xeVimofCk|lVuopM)0TTjgstT-I>9! zAjrbwG7L^kpi4Lv$t0IyaCU zIR+e-}VO)P1CZEeNuD=YEaq=HUNf z?@hq8D6Y2QuI^{wpV=8^V1{AeSA(*MfCz%f7DY6MO$0N^ajVLj0s4p=jt}!Mt zXj~D|DDFm$pBiJ-5L`ltaTkrRary82RMpen;|!q5oBzGO>zfOnQ>W_GsZ*y;ZKtZc z*_v)srIQyojCXFd9~n!j=S7!GB2+A{2Jg1mok%Rw4dazu4F$SkyppS-KsSt6ay4ir zZjN!F;cl637|;3^Vp=AP#tX7W+Y)2{gd3(nH;iY|8YjQF0rB1|vDYXf-JRa6#%bZ` za$YkiJcVyTZxQd)ST8t^H0@_$+RrU*;ZUmh3xm3aqdNfASL56!&&l5hBXPZaoF8S7 zeX&=Ndvh=^U24&wDD`ZSurhuQY1h-bsw{2cdKOdd)BXyyHD1SfH_VR(x|qC9acxab zfi5Pmvq9a0Tp{QZKTq@$DbU5_bv0e1=lJ zo`!RwKo^tO%cPbS=wkAE8_pF@ek61e@%qQ-QbsPCy#b~A{2_>{!d5aKXhp`yYf$@y zH#EMnRJ~#0>J7KFh2d1x{sxT{8pvscL1P$w*qO`pZCG(DB__WHrfI&{F=5?G={FUy zl3S_F{ToPXQ{w$d-Z-91ZhAkG*87pB-zB+Gj}uD9u%z{Vq*>FX^?s!3{YYBxN1EP` z__vWNF0P47qY1nFtPk`^+54oLAAI;;Nr-b}M%h0Welm!) zjt^A~-vx55<3ok^72r}cn@LU9HB0tqOqolD-Bi8-><{}g`-j0&D{8v1YecRvyQ7Sv zgk5*FKb3r@X2c2&HI?eF{+mUt)hUb}T}r8A$|X95u@g*64|Nw)u270G&zRV5>Klx` z8yj?NvFsmpLAF!n>;wD3;o?KplnAJ2co<{Lu+#}f+fTVFcnoVi^N#MQYZGiVF zvhGczuz%_a#R~^w7=K}X!{~o)8G@mi=A&Cc>cLiyF7hggCyho<~p4h>>D_M5O*rJ{Y zAIrQqMlbJ<@GB@>of18m;h&ObQFI@M*OBL2(eo)=oIH0Vo+F?CV!RXI$#Q=Nn^7}j zgL@%-KjSZsz0dH`^Xeju$i#>(S_m7ilz|-J{6GGTO>OI zU|f59r$^ZnkdyZP%|K4>=e^ZYd5y5@M;C@?T^Rl8m`LvAM5t8%6>N?(I8Fm;hZ59T zG*2KKnX=X({fXS9E7X52kxd*}lo|l%(4!=ZdQ;0+I|F~rv?rMs^}dOS{zoz5?G2iE z0k$pbz4IjX5Tp)Rf-R%^Q0Je<{3lR^k97XYh!{l8s-KHu@x}W#h@p1XuSAxpx4a!< zs9_^e)H|9q)UrBPgvWDVuX2Sw3Q??mRJcGcfSx%hS`W2j-Zi{inzF`Im-W%aoz zIqIE28fsR3A&QN9e?-habQh_F;wA{$en%oIT*>MQF^d`V9)(_dFfAhL%>(ODGYkqe zL)2Ts41uivOy0{V}7&msoyJxtclon1;Emqx5U z=|Hf5H(*7yY!-;j`{(srh0LSZk*y$ePh|@kk4)r#hF2abF^Pi#BJVJ~svCp)e$6S2 zXz6}UWEoPtQR|tm@7M4hA>wC=EPN2Laa`eu9H&F@vqTm>he#(e2|o-auf$K@Nz4X# z-Qsa4LJpDRuR%y6Ai|LMyM-Yb&;=7Y{Sz|am5s;+ zOpNpf9^IePieDu@=-Y^;UnRcJ5W`7cco&mb@<+CVFgEl=q*%WSKBKw~sO$pq8C8Z+ zy!ch{8P(SX)qWLxMzzXis`yp#8C5=ng$%{*Yx@lzx?yL09I!f#33X zn8o^4h|qUZDL)jvav^>|OU`BPNBB)0TR<%nhaCtyy{9?+2OxondQUT5V|cmXwTMn6 zC#)nPGbInH6KVBj{p3U@Kz2B1l85SAa`!~ODcLurCjXpSxo=8M5%Vx*q^4>t0&?0> zsx6wQF1?-(Vc9mNf5^tCNZdB1uMlIyw_SPMo&J$dE2d|51hzqAWwKF9|M+;xSDu~) zj_IFhtUCQ;VpnR)woc>~qF3ESL1n|1zHx1-@JH(oX8T&yX`YpMe#Zwbx#up;ahOd zRU5_Ks}UTkZ;>zzjwRNpLrL+NB1f(uw&6dQ20sIRn-9=->M;gC!_2Q=H}DuKE3vw1 z^VbeYeS9|1u0&~|>Tw$SB%-$w{Vj=4A$mXRpnm%+u=+2cwZA9&Bsu>ED1>3<%3Ma& zs9#W|9jXT=YKn8?U@a1HUCIuY@{dv}J6K8{lx^4%)L)7AB1(^pM#mGKA*t+f3y8i- zbSKdt14ZfVb#2ueM%RZ=fxnHS>zXK5y@+Mc%j?y58Huk3Q8@cY{rv=ZJ5fnzMQQIw z5$!}LokiELvB-MG=g_egmMGRUv#+k}lcnqfB)dWy$de>rs??`QCh1s1PF$C^RLHxL zyv(J|)vNa@B6e#eVuq_srhb_E+Oaz$aX9EF>oT4q`DL0MvGT_n%`A~$Cge*r`A&+2 zhc;G}wB@$1~Ldx*+D_-E$W7w^Ggnq%uE6&UWUYbHD5y(Liue~Pf@pMQCZj&Q{a9e)uL_@QQMPY zTeY6#vy~#*qlWZ!lFDiMY|-aIAZ=vPTR?Ch{^QiU14~^(9;oDT)aK&~t9>II0L3G& z%Y0la`90E?xf~VRii-hnN#}$mf6VYIGSqFL7XB#A{A%6U0gd2}4AYxOK> z^`~LG37>bsr{mn^Sodn&@aeSBQ9WE{j-%Z>9cQt&z&h_w-a563sp3oODbxo7hk8C> z4c<|Tr8>iO$wO1NMC2RZ|vi=iW^gWUKak7U0<$b}@s6*!i*;F4$v+e^N zdnUenLoLBp7==tR1$9w3kjuHQV$97ZwyS!cLS3vF(H*^sP1a3a#=6|;Do!7aU1L(Z zsvKD#sTeVBgeLFKrG2DP4q7AS)fc&r)$ltiWP%6Pu>wVPT zOe{UlK$+<`UquWk`%~5-oP+Tu=NQWzMzu%1!^>AYJr^={_-u)oj))%I#jEkssZ@_o zh)mE(&o!*xWOiKaI+(W&t2T{eO4OUdh8>nOrT0p?>)u@ z>J|0gMT~qm(sd5$4uwmfQ*U<;?-G`x5`&Jz#NN^_A-px9zU&JsWMU3(4P+Uyo2|hQ znAvO%5|IOb1~kS!!AORjICYmPPff|jBD(M&h$55d zaXN&JMRXD03#fB2B>eI?CPZYl#m&YddOUf`#v;0uiD@~ojeeI`P%<0}qo+Lt3T`Z9 zBN08l7gA&+5xsy!W>KDX(~~d^V1|&#hH~=QP);5j%E@CxIeBa-Cyx!~axUoIEy^lk1&1d2A>rj}7JIv7wwiHk6achH~=Q zP);5j%E@CxIeBa-Cyx!~4kk`LeUfq@pGNLywY z#`nmjWg`)v`~<=oZY1LN04Bo&n0Vj-rUUTwK49K9aauJ72YF9w;&cYlNEyz_iPa>+ zsd0uEeG_L~E5(*&xPVWbNkih(j%6-y4@Q9&dE}~3bu_K!n%t99V%;!DNAn>lJ{K7JmysA zy;7e0LwUBA^5|2YN14Z*>YN)vokFKN8GWjwIYcs{Q=LrcR3{TU)ye2n9i6Y3(Wg3O zk4-{GpX#g^Y+gp6>f8WKHVJVmmTA%vNap~}=T+dXc5bT8j)cd z*~%)R%;gIc+E68B?gtD`MX*XeNtw=2EW`e>8eL=9qX>&L7_@7xGPgIdHmpvSI*M7( zg_{@|A$7q>J`)sL1Lrd9QCy>7AI554pxE{8AIsSQ3N7kI6!mP8oM&qnlk!HL_YCI! zv8afJtTY9^fV^5oKNj(^@X)NTB&)7KQSOdT$a|w!FY>K5d82Ww&l@TKQcZj*3%XTt zh#}!chIn*|_*N3H2XR}`&Fw76>ZVFP!m{qx3Ne*r9al5^pCyfQa)G4fyocEzWzps8 zQ>JfKCYzYjBg`kSn%qidybHqWCQ2x10t=@)c?JA~By0eRmVFYzHk*YbUonDj0#+KpzyNOdM6BKtG8kuUvMbo_?KCZt2+~HqGH>->7uJ!5 zse`1js8>KvXA<1?u5&PsPR!cq+^*rZ5x?*~Y$=HTryfhR3nJ?)^%ib~n&>O_j?*Ez zlXfp6odivVq2zh^fvc-**lAjPijmSZZQjQ`8+2Kh?pE)2&}(e)a*5zYu$;OS zzj@dup6_6&gd)cu5t}-Y3)a}s2aqUl4T%k7kj_F`S`42rm<#eN5K77OJ+bM005;8O zKX$}yUC9EU?}^Qz6j+I*`Fu~zKHrnR1H)`A{CrRBTaS^SJl_+WJ(B$Rd{1nS=HR3s z6O20G#k5ok#$6vf_A(O6bM*5Wq?~-dC${)Ug1O@2j4ff+C$btKJl_*r!HCr3qLEX6kFYN3R0dfRuY<={>T;=(8%ZvUq}XWRDhl67TbFkf+-=DISm??{mW zE|>&mRV2qBN_%EU0PHd3-~NRAnS!A4(wIY`&qNpszh z9II{JI3hozC$y(?% z>t5}0+2O(_@TELzM^&{YGEZ?vST+iZz&PFqgK2dS)K1MKO;3!@)xvBZJKQv)@{qx? zL{|Z=p|ENJiLVnBFIi^CdjbgF!8M+eu><%tQIGUE7?8kMt}-m z9PNfYXNB^tHF?fro)IK#tLBpRT1ClkFw)nl`W}eeMWXcDp1n@(q)~>-2mn+3n1+2}~MZ zK+3E5UxSKnV(fNd-S`^>?H`pguEIK zz*$~5R{v3=^NHR_^fC6sH-S1$st@^|rW6@+-OAEl2h)zChSQ|LZ=l&ay+Fd%ietmK zfjgaNXo(baoojM-jw5GbVO~^3b5s#iM+&Xr{;pJ0p-xQK~*(?HPkuhd1K@u)=9>(BD;X2d`a z+4!n3CHj^`9F8pbsxTt1?9SCe&-=(}kS=N`q7xjlzY8iW%S0hK9x+kxQ!;voj0WkV zy0fSY8L_V}>ReLn>dJ_%$P)7yp^K7Q{sED~SvfspCcgHjuFE@APsQ}!S zFE@APHCp2o7dIdtcje=-M?K+eqwGh&wPc`8edPq&u**_U^`(8rNuVpZY>tBL9V*0=7rXH_=BFnw_A66x zr7V3n>P4WclvVgmI;~hq8DlqVtgMpW!q~4hR$fU@UhEcDrF1_#cITNuE5wr*+e`rJGSjG#8&Ln3k?`w zFc_(o%P|nd7iw|9x;Ms&_}Qb7QgFxNw@S^$Z}ln^R!u3Z1*MUIfDsk*G518`=dL3& zT4Ve?2B~}Q5#wuF3O8v5cOgO*4}m-u|Mj*K`7I2RoJ}9URjb0Sq;5E9K&yjGHeDKCSU$vVJ+FS6y4r|d<6l?qzXHKVm z6N@-ik=M;*HNOV+CyF;)-qg;>PfS7~<~WTa>1;|`i9C%Wt)K&db`Xi@QsUU+b!y2v z)$|U^+5`r#;=h{PF^#0jpzP=%umpj2HnCF`Vt#-a{B>4*mKm;87R{H1u%7#}cqw2x z3%H-8oz+GXKCMW!9N${jjolNaP}uXrrPRl< ztVFY%C(ZI0@^r^$Xsx0lzDEvS)HQ6(b>VDdOty8Bt&-*SP*;-wPn4o2o-*3#X|AVo zy1GXRoguhIY}ONNQC4t0QIo>jW)+D3FIrES=SJy%Gd?>8F;wa00v8oB*p_^DY$D^c zW1=~h%*b-&XhdYqazuw^IkE)NdO5;S?mS?2IkMVDU^$XE%Mrd$w}6)!oDW!Lmm{?> zlJf9!q$0c=sR%De%H{dT(sHCCyc{VHFGniE%aMv^%aJm>#P}wps93=rrO}eJG}RYN<%-yINlcIFtI@)xnLWJ`DY57EY5>zH z31fdC!&FN0Y~w_mUIjs7e`dF*$iiVe6Yv6Q&EXZxL6(|FBFRkL9@)fRtw2nw6M~u8 z`*)G!o8OZwOcTki zf;{3Hji1G!b~Yx)Br%@}pG3&nm>8@1XlG+$oW``XF>$cw+91xx1n=`fnnrOpCMIai zyniV%nMbDf3B(gwmOiW2RL0F|SGeX0M4eVNPatYc*C%OLxaJ8&Emi3W#0v1YPayJT zX}T=C!qqO-@CrA1&uZo|XVrfy<+(p3UwDO^eBd_bF=y2)FvYg`1fu4kFSLG@ClGDz z^;tF9+Y7D9m(Lc=K7n{CFulU1Vwq-FxU4)=?FyF_yUyaJClGlIt1qWkE{74T0j}cA zytuyl%++QAyC zo!nKugjC-~CN0EpbreXd9A>Fjk57g`P71Ul&~GTu3X!M1`W8tJQi?1)Pt$D2gKdL+ z6Z;^=;r~X^Hp===$2EM1)7qpCf!o-*T1O0}tMg#tdiMx9+Km}BmRx!w-l!9oZ+ybp znLPFwpb5Mqk9<>!pOSA9kM=BX` zRR-pQ*9a=od}Qc;54tjW6gn@~^dd;hXX6M*qiSBoKgir*bHQZ?aeQBk9BL2OLSDR(D+h~(@9I{q{^jC_Ss=|7v>@ZDAr40m*GCyU^ zvanO+EM%+@$(Ko)_`o*a=(tR+L%hARuhq<$3q zB_H)VN70s6YEiz$tk;CIeuk{9!!=UfYc%06Nr*RFvZ9BX%63JU&}D3rGVUX3H7hy* zDK$=;_mIiGOpy+cYCKOCFHw9TCldL)M%wlua)a=QdiP^aZ##}LKbQCDN4@Fjo?%{f z0bWsWQp0MeF2FGAO+<{d9)h?|mwie-b~r31*kfNnk6nKri1f5&0; z{<|o++59?Xg5QsZ5w-36_pDs?#w$-cuWS$S+fx2kjgtC?re>@ zFT+_`K3Ub#uQ3lU1Hb6CfLigjCI>h~HFQn*Vpkby^8$Ao(Ve$$HY zl2Jnxd64)%lc5nBV1^;g@GdfB@V>!e!-3RHlzi)%?@+}OnJ0CG*7-`4?@;DD3Zz+? z{tgu*nYT_IyH1hQWOBL`$+>IDX9_Fyf@b_PM$DH7zsPj7VSJlmJfDoa9*Po$@q99# z1Qa9lt<1SZasrn>njx#Pgt<-u84Evwggx`$(41mHifzJfB^en7u;Qq3R} zzOGgOv0A?{Ocs#IP))Xo`Id#qo&{O;l8%fY3BtLW@J#Y!ztn%^_XP;sh%Q#9Ve)ot zPAg&==7sJ_R4K2Kzzrs9eY(;7Fc9h7tJ&N(cddGqC9M$~B<<`{OTEd2^A#iRGm$?B zlWO^Z^!YT#RghKM>U?VAI$&B)f<6JXEp&JRtG^3~Q};zbaO_9(hp~%(62>wA~E4n67n?& zi9;45NJ5@0Cnhohvg3{Di9>ZQ`3V&A&A*yMp5%~v7_Sf~hcd{lWSSgCB8+gznjEgP zregD)9v(unB9=H)})Ofbv86XuIv;7&QwmC0n2e495 zSRoCb*@54av`AswKwyJd7?&++`J`If{Q*)l1^nb^fjW{KD{uS6T#Qd5>@r-rwa$$% zM1(7J_z2>2`~jCIne{OQlevkcFHpGLp{xc3ik;}>CRItsIEcx+sK`jN=SH#^{vhoW zq@~?Dq-8EaF#nqY5GOzBU_=xsPJYT7;o}r2PJZe&g5kpe>PUog^21gGlUaz!w?qDP z{xD{W&2jRFQ&25YemV~jbk8FDq9QNNAt=%Lh)ug2@tbMHPksX>QbS)tn8u*!eI`pW z20h);O!KQ@8?G7~fd?`scZtVYLSEKZ2Qz_$6};VC4x|lj*U3MJe1p`)3z!dEtwUK2 zvfqSwHHlrqZAurOg%lQRTbM;`vhKn?3Kx^oV7&^{0%?5;8;MQPl>K7z0;#E5t^rPM z9=4&bR-7ibnyKPth|zX7$wPZG+b#Ik1tHjJ*r;b5Suf2|9oT12R z%nbCxOB$T9a5dF0AiFcjZs-)axn&+YgKahqX#1Zq*ICpq4geZNRcBK}jk4rChaG1X z=o+z>T*Kmi4aDito|507SkC#Ttl9_2X{#ds3lsMnDIbltm396QS=+Ij>W7W8Q-NMU z{XD?b2BMdh(7{BHCGi97Jd=PrwbCXxE3$vi6!8&@5Ls`203>rj*YqYDP2EDJe@C*t zF#z}+#Vo6i)SB53Goj8lhuLmoHc`bfOnC%oBPQW_9EJW&9%+{<>Zq2H)ia80o-nK~ zM}~Inf9e_5BR&o2t0d!HL#GeW`r{YiZpL#&4;OUSDUSL*(bIuCozw>;-wvtuADgbT z>cz;Nie&bW30=^d(`dg@@8RmzPJ8xP^%VOHCV6kQ<6OzMbdJFgZ6^6;1H+U2yO`vM zuLIG)b&@wTJD5&|xO@i_miRwHP@X9o$&h|z>eTXS3p*&5Cn2E6&-hIA^osoXv`JHY?8AtT<=0;+)Njb2cl^*{nEcv*Mi1igPwA z&e^OuXS3p*&5Cn2E6&-hIA^osoXv`JHY?8AtT<=0;+)Njb2cl^*{nEcv*Mi1YUgZD zW|qhf^=s54&zU7snpq0GiV*QB~V@bvP7=Z9V((>-f*kwGxTd94`;0I(~-UStDcb zq2%)$qB8Ib`UjwGKsuSCz5~Rm>OK%0_gCaL;V8{liqh?VBKhrD@B8%{*sx!s} zvRFVCzav?l8ceb!Y9tVr^b=FsWJ9`yq<J zl#03DB-s(iWu%GANE4TlCN3jQTt=F>j5Ki>Y2q@{#AT$3%SaQKktQx9O0N&6PJ-DE+b7`Mw+;cG;uxB z=rydj16MN9C>&|L(nup~SkE76(lXLS`rQLkd4WNsKZEE-8ICj&JJMu0(nJRGAt`J< zG8}0lrI9A9J_9C|WziL#1f%G=k(Bg*eeZje@ztC))QLg5iD_ zUK~q4%vKOHBwdk_h%J_4NnfNWi0y)Knc6|i9i?co*BPrVS06HRiST6PGbZ7?$WZ~y zKY@Jnhk;AEiVgzlIZ`CaGT+i&^-hptXi?{obSg+I9%t!;|h9T0u(9q<)4f)~>Iq;d4kx z%Qc6g4Bm|zX5<#c&=-N)W09F{)e#eaS`+mq1*Us-n2%@hf zwDVhIZd^ORNroPn(9ZAWg2iwSaUw$EJdB+441!6QNvTBifWHH#(bCb84C&LUe?Wv3T)&S+V{V+A^wVx@@~QEZ2pQ>Y zEniIDM@T++cEar1M#`JX@}`1TXPwEc-vL_t9OBOg`OWyRX1Ap)c^%^0{snJr73+#NqR|Vf(IY{uYk46BSw~a^ zxsaN@o2Yo7FB}P;uL0G{zL;n&MJxl~zAXIWdWvUWlCLGi9vXtIXIm^5K`6uyBgh;I zBKEkL!BHS?Crz_d^vk?ScL7o=KS!`V-3-jy|Jz!Fk0GV)3PfHD%C@H?@LL2L)*?{P z6?e?@YH+_@WZa2-G2~Nciwa+34yy22&r$&V zHL3fF7PL+%_tsgu*$#|bofJeTqabZZv4Vo48GHwL4;d56q&*%0-cu@9E_#S9TBnX* zr+P_sDx`kBsgM0;I?jAdICxUH5k>S_jd0(MNN9_tN#DB=SjWJF2>b(qevgB`Gi3TJ zYTY-2pYNa(b$T7KE@J!U5OX175H}ZboAJNzbI5xq;`;s>fdovd(@BW_1(W`cnD&V2 z`w;>MA;7=>93Do3+cNye7b#?X_&yS4e7IGpn$&4Do*_!wol5FFTPtdFNl`;YQNIPf zR@4wt)Ca(|VundxqZldldGLvWj~b>6O``#%&?W@fIybX*M(NugEO5RlG?}oiGfD~_ z0(xz+qoj~Iz&p!w?m%gs?~`AX8c8dfpxLni{>d_<#BPGHySBt`g0Oo@XTOrtO#O29 z@0GGomF(V3E6r5NJ_I;QuVCpj6kcqvE3(>DhPdv2GbH<*QuY~&)Ae_N>t1)1*wH%R z7;-+x?*J}$qH8$%C^hgT$~Pd1EKwO$242e&2kD4^Rnj2f~n#5eK{jU}AIW*W&* z(KFCo+ zIYu+b1I$sb{T&oSsfrh2H)_suBx3*Y0t~gXTXPyQIM?~h$dVOi28XS1FbuBmPf*2w zaWCgaAA}%oA9lZ(OS6oti}9P7&mSmD|FE2?K$5O|$U}gsDHZ(9;#Z}1mV-lTKEht= zApAyBx8pa8%L*S-+r1QZv!BsVMlN{vT8Qi~&BQPvp7vfW&6|Y{ggD3eR%oXOQE`q5 zIu$4mz@d59`QE6KUWPW)%UI}Tldl)=eq?f6xT*Q|?wI)Rwz?L4^}DTfs2{(#cq>Je zS5YyPJPkkQ-Bu4+94>0U@Y6f~48)jsTQSkT+iDlW<~cbAVZoXqHA{`YN#QJ_ubH#wkvQzTdOQkHxNLR&3#PTsl9ZUKuih|ga z0gAW7_*^yk2888gLhXAy64~#n*VE8f3N!Yh`KBMe3(^{NoRwtrz1i3p(e0vEjYPiR z0Iii*VGHV^`@scYj}-tu`b`relj{wmNgnpLa(X(Bm#W}Sck1tBnd8XHY^v%n_G9MC z!EBpP7&CqxX!?+UJ5@pcM=0`LeT?qx_k(xMiY169>*b)+B?@)vX}P^W#2cn>8iXMtPi=|a~=urJD_bmg|hY*9o|H| zH?wN|S>O$#D~(r!Q{y9~?<4emh(A~fysu&<4hP;Kb8$b#l1?WM?`nUM<4#~5_`UHJ zNNSLC@tKONpg$wQX*vZd9cA!4LS2Ul_k52g@B_%%PF=@BFITL_8>T9JS$y3I63?=~ z02AFdms2aIZEB>L%h|47kWV+2CYZfW%~_{9NmH#qnOSt>p|sWHdbMInZyc)?w={~@ zl9}*ombM>~bo*S*(q;qIMM>&frb=^3>McOoT(XOO5r}RsL2JPu59d+mZ-`D=VATR$ zXmt#1p}#lSNk$@}7ESjiTW&3oHqsJrvHW|9N<+R)bO%vsM?q7VyS2#+IuU3aX|;D) zm3d5+MthfSb{f#8i_jLjg=(dRu1BO9VY@&k8DSGnr}L<%p@R_<^>%oxopK7?S)AaD z)g*+meA>=x_u`~eGg6lKfG>F+z(;AmFrj|^%a-!cvE-^ zk8#LKE3IPg(_oU|`Yh7tH3Vbs-|(AJU*I?U2!B@CAEZ4dX?#{G`+_AvbQBSZ56hv% z{kdmw_p1Pl=;(71?JdDi^gy!1c;~f4N_6a{h{LTGkI&~s$CDifZI4gp(*xB#vm~PE!LdpCJWT#wt+RL@g72yE=W{htNaXUKc z^l(I?`c>E+?3W&r9tZ72Ond@$DOX-1oo0$cN-XPm2~9Q_I*I4O04fDhPKcr;N`i>I zqT|X*lrnh?iVu3FrIbu(hlOP;RhP`Ta%Rj$B_>~DCuJ54J7gleq81J_6f-hgd2bX? zp~$DG%G8Jyqbs7ND!Hg^B~T+e(W-$y3a-^@Xs8UTtUGwetz~&yZx#4dS;!q_^5XV{7P35e?Tb*4y!^tRD8Jpw?Ty z^>z<8Ny0V>E?n!jO=-hO>n6@vePpdZa+MAn3uYd+uR^Km#geeO4O&uJ*pkY9%RyU{ zTV*M`SWXp7LEA=@Uz3`yU|EOZuT5ttr`Fm~+j2y+DeL?Ow@Rcj)rXbcE^JKgt@Skc z?M&-w47Q1GIZVvKNLvPG0za!Zs*a5iu#<^J=h3m95n;_3cGM-9YwrxJwf6Qa-k|%s zUuE?4pwPA^iJ)QHnowKGu#l-Boo~Q+(NM4|nE(piW&N5_l^7d>{W4##`bl4(o<0Ko zs}Obim6NPkDk|C~;}=zCazl-zDmU^Ow89ic6gtJbFicM>@zCRi9Tz}TJxB~LFk0y5 zY2!=1w;jE;_ON{^ru$Mn+*1;!FPKp=S+acTI2>~WLvT9WaatdFZAV5w^v832Kv)lF zWw!g48=0XnjI+V+RcX6dv%V)%)s%!318ZZ1G*zgzV*=mw>(tqvS#Nu0yXFSk-Zu%X zp-d{8ENF?1;fBXssjObu(oI0wnM}iX>}^u5>7f7lQI;*%T>*Q6HFfce_ARb!z(A$) z-K>dr52nDi6Sl>kK5x9(G-U)TVrJ?cG)rHYK6dQET>v&mzm|JIe?PaMcYq)1S9xHN zfu&`y9u&|Ps=bddX&t)YH_}*D;0^UA7LBTV#J+w6Rlc8}OQ#RKF{g^PcW(JZH7_3WNKq=6e}D(p5k-2dPc9) z#mQV4G+;*9)eX9lZqrs)H^Gt4GwU0yJtN_fEoz#=3=1(sh{fpt&~me+sZyh`QkYVL z6HXD@xAQI=xUsP19Av~_)igZvrTx$okQyEqkE^pBSF>4wVM$^)wYg+0KSaJL7 zSl@7KgVDiJ$*#Ri##a|81SVeZquMyFwaN&^p45u9`UYxk6(;z)F;BKkC>x0q3 z_HNf3Lz9)FeNZ$@g~foWUdbjJ{rYMn=-@}t1VW4NuWS>#2S$TEIu;(&?DS&BwEyge zxui*18|gWU3u3eK32mT#6KUS1!wnnUKBHlG*RlO(X|-$H^>%3hhJE<OIn0_VWy8DQf&;DiML55vgSl-F zVcjx*gE4=$CAwCptl$Xb**QL9hNsd3p~W>uupGY!u{L&|fN>{-R6(gz0Xj(Cd}kkS zFYfFEvrVqVG|&mDio9XUjs~U$lg*&U59nc1_7`K|;0q7Gpx+g(w5{qcU=Kkx27RHn zZ^g`xS5{hayW{<8gL=3%xIQy_rjN75^_f_{S)G~XoS9Di`iHs+*JxJH&|hOu)yncT?pa^{FkC>)e1FnH~=61no_o(5q}_Eb%Irh{c@kde>+q zV~szn7r43LIzd|qcVpH*LTcl}KcF_d3j=3bVMy0OwOOB%p|iU(qL6;iYs}V^WW6Hd z2IA0RcR_ZAjvqdWwz^bw3cESq5UpNL^BCr9_GeBSqz_$1r8-UgtM;or$qMD{*VJqd%>+=<>%Y^%XHr)R?92nJeXw3(Wu!SKZV1zu8G1_C!@}Ln`SR<@7 zBZM@TUd-b|0=7-Km9z#?YPX?TrrYje8_+rxig{0}#A^9eEB#92LAt>PEKW;CV%pQ$f-_qF!#$`?8Pv!0X z{_XdufC~mAv)y?IdW?+4zKPieryO=nHb%w`H>EXb@B|c621a7C?CP`hg$a$u9Id-+ z8{*lE8NUA2Q5wDPKfXH-_xR@bB&>n>r*_srU{yhPbJ(to#mU~L+E|0Se=$Y`+uV-8rja=U2ihE`d;XfiZ&#k~St^Xv_H@ti zpi?i9+Ofo=G|kZ1g6LXCNP&9^^9&%D9iumO|nDj*2CV z!QuICUpwF7>`qU7IJ46ZG@RD;Lxy4X0L-mVgNC-{a5>; zwfdMH7US0Hu_g~%eRx$K?n=!k&v57F>dDv@r-Gaw!~I)J(sgWaMAMLnt94^XM9b{{ zwA^f>{OJMrlsLUrp&v$Rcx;exKa`r`l4P4;hpg?4>BQd>$?C{BPW^V4{%42ppe@+m zXUyE5tQ(YLd7u+mXSzuZj_IgcQ`mSgmTQ~oq#cr-{rSTR(7MyqH(bBZx8CQ1y~^j^ zBN(mOpa=TBi1rsgXAf3@+V@Y`!){vkzS8W$StaCbWd!@8u@n|F&^L3UgM3t5ec(iW zZ@YmuZaTrjCsWqwV_~BYoI_xfYWs?R$o;>s8w5vKZO?%tY&pR8CkET-Y_#B(6r)>U zwaCFP)KPrf3NC=qK%vb-kU6`H-86z57C`n$ibXew$s>Pb(2`_&(p@2V?F8AIpD!4r zG2JV8z5wDbEtzxIQeOS+v`FyGXD;EMp|FK+_EZZ7sGK zHYPiCm)bfocx!72oP@IRI~r?|42<~t8(G_+|JET%&%M6C+%_-H4%ocbX9n?Q77iL< znRU2?4nXN7vkh7GBj9dG`%~U&NVPNtbnPNAU}x|*2rOQWQ|K)))cMv24=s|+$S@iF3IlS zX}9)l-L#YSmlH*K?X1zjsBA&jFhd)&V5`}Sm!>NOO$;o9i$s{Mzeuz@446~%UO++j z@^oDuNFF@023kAI=rj%&F8xV?qjzWG)pXohwp0^zX@v1VvzJBcl5Gc4Nu zMp=owm|=N%TT&4=!+_(~Un5lo@1%a|u?b@`_K1)u28UYR)9H2+I}X_%TFDqUNrM;d zX?x+JDKyXdGGWCP99XRFnbJeIJgni`c@8wX;X^YW8{Q6Zv|vbL9Rmja`etE$gL^#C zD>ao20?iGe3Yn9zbl*UqqEh{ zaG(e6eluokp0;Zc+gHNY(ENfySbqgQ9u$M;E@gILD%TAXI=Qxkw%;#8R%LsI9e<$5 zz>E@jMu&YSury*?bLzV^Y!LAFSQ4CIY zl&Q2n)hatAw(@8l>8RQkru*iX0Rn9W#d7wyT>xsgeiqZP_E?rEi0u)R2+5soASdR0 zJ9Sy(u`|A5<{t-yYzYti`1WA4!fEM8`bJ{6H%6YXZxvRZ*c$s!D(=ZwSL%woH)Vp; zeAf1+T&Z8mdM|HAX*2oR?Xf}qJ&LS2?BPDD$B9!Ow=`R0HgDkAh{>&Phy$(C`Yj(> zC7SumsMGh+g&L^!t+1b6&vyTY_6X|qKXcK~JQpi|D*XtO^;89I&>E(H#SuEPhAC|n z?hef#eh&0Hbh9rl*!HZszyun!*}$_qcv#$y8*w{s_zwyP$H(0~9vT?NgO7*8+cnAxz;yC ze8+)#7R`Tp+Kw0b&X|h|R-E8dJ9-5hdNRHxzn0b=Z+sd}!x;QgJ7`esx`Cb?oJCd=d!*1rx zI=cBC0$(*469 zWua2~2egE9J$r&H7RjAxvvZ?XdS}?|JTuap!8>Aiol(E%`WsLahiCD=(#1tHRI ztDJ@*Gq)ju*2r5WnMM59uTpTp`|A4-qbTWX|MN>9ZD19)6IJ@Y0_;6#B6~S%`lf6b zJOR~)7Cg3>utn^#q-l}nF0z)J5hJQt;@%wynGvl62PqcWq(>|36f+}S3obDlJ5qN$ zb^d!sreN1d+Wuf)G@{*{8QXDT!!i~HRTI=Gz6}-ZrhK#{G{h9mi1K?3`YztMjGn7L z`Y?rGg|MS~_wIv#bCxVSrqAMIR^ZY6Alhfyg5!?~#w|Z}xj~mMnzdryl4ZyDnRU{# zUd!gpcKV#Sd|97G3ugB@cFr6|_8HiFQ18CvR{F=-1&ik_I&m)EyLHSdvyNZ7=$Kx! zX3y!3SCipwW-I0{SiGY5e7s`PnX_c^iUr3l=mj_rF?hw)qB*^mpS)njocTcUj<2Q5 zmdrV3`Er9W7a~_c+GRR;Odz~;)|}&xS%EJpBMEh8o%+m~KWp*gV-^KsD2JruRY837 z6cvTgB;=S3co<+c;0!><&jzdlTxQ^zfNg;DWp|9f9*}gm7@RLktOWkBf&9<|@uyAt z3xHYRF9Oy9ZU@v7Fm$kqVU7r-BaBf>rSR$`G%O4q;eWzgVH=jkJEu!x4@qpL)zM^p zf%D?IOO8i7uvGBouP&W|VJ3#961PxOP>xYXo*KXua8hJQy9fFBt~}S-50tH1<=kUV z3R++bM!H~WGlD+H&zjRbs&z}Av-Cu<*JZQjE?ClM*}VP(`p%x+nw4u?Zf^X2dVf{( z|7yL3^0ih%sY9_B>t2KI*z5RN3l^h2ah;~ruduK~ce|BOk~+ygPP6Hr6vP5GY z4oG7iZQ#Lx+N>E`i4;O|;v0aOV}`+J8aUU$d4M`6L)U_mkU19`xEPRStpKD^j zkX?QaAnDfvlI~Iie_|kCX32av1Cnm5iGLoDlD!PLrw(^LXk3SHN^P;jnXcgXx#pJM zniZDDcmzVj{G3Hg(8MyZlnfD_zL~jEa@2soT8FI5xu#Ck#WvuC9~=0Ifu92E91L9s z3PNfrf>M~H43OHY0@RcYU1wrQ+1XHzFqC6TloaRJpdci#Ak@4Vv$2u98K{n~mmJPWhua5stHf^Jj5E1lG13L4wOCSj^WL+rwR(?dzQ(Cc+@??|t3m zCggoj5*f+Fk4uRRc|VXu2ATMIDUl&>og^~I#0cu7&5|MS=XjyEj--uuL=+)Ed8dgO z@?Oo7h(X$DcSF>>M8uGHp+_PH*(LiMI2@21M;kcSzzKjJ)`^%y5aLKb9k2nApTOe? zG!szEz>xQIVb35F=K_-L;t~-<-ZLU7gRJT@KoYM4B=KrME+@|gWJwnQ76C5-WW_EA z)Fm?HeI|Niuoj6|0Fvnjz=-R;hPQ-A-Rp=bPY)y$rB$|jw1t?<|kL^Ec{dtG$S zAf>w3z^#Cdz#j&rJ{||8R8Il27S8}`CJcESlN5kKO7$XOJHTy#l=f8Ppxl;hCn!^BD%NZtp zCLpyi50F||XyO+cxD1f=CjpZGDg#%W^s@n};)?*$w*Oc~qV+_ScY#QF9S}-*gQj>} zDDKb{8-!vr5NS>Ww*r#QqXs@<;-3NZ#xmsvgsA>)fa3vQ2V@yL0m99nwTN)j~NQy7eTHY2Lm$GP(YS28ZZUOFDG{boB&u3I1%tjK)wy1^wSKSZs1%% z$bRc`GG9bQdGEs^8+DfgSq8WQkR_}F%mc0lqy%T1_;Uft`Fud{veTLMQUtkJS`WyY zTn}iB906~gXcHkxBqV>r0MUjL5t%cMg%Spcekgs4ahAwJO~it|M`M(ULE7&|Ko+;z zz=r{u=_vzW2HYR`PC%CU2B2ofkhfNty^SEWwrwRje@sMqH;62s0+AI7b`7k00+2S7 z0%S26K;(Q(a#j#g-u;rZ%20OD6kCL%v!-}lD0%`RkN$uxV;?~B9%k`sxn2AjhG$Jh6mDM0*giCD%vlt8_(BwM1z|6S1X)k07k-i8!gib1YP zrvtLEqX9br&IQ!O40)dk@gf9!BJl!1>f%yB_N?`QG^7m%-T=rFHv)20cpQ-Q&lvcz zNw319hjg_D4h1CLNP~|7+z0p+K=PjfNTH7gB;9O4=3i*=lK?pdoC4^5b{a`nA-Ep! zTtHnPhP;QRKI;)=rEdhJA#4IO>{I3`jY)oAfsUDd$Ik z-cjWAF+%$SMv}I8K*zgA1}+AfJqWdoB=>F*A_iG@0+3}_02TnN0NEL90CgsYyi4LN zwmX8Hd3pnO1ndilF1F=VCJrW|yceX~4h6!FGZK(ZH35*tO)+pLU=H|PK(7230@7#~ z0aEtGfZhpYy9^p8CcY~4qxBi;x4E~zt&gW#c)qBd$!)c>+J#dT%|pRNLi8t&r)fgqXT{;sI99Uz%B08+)B z4eSa?CfyC**TDXOYyzHIGv5e6T?j+o15(JrhG>F;(*Q|)7$6Hd%D|%mS;$O-&ogj7 zAPZS+(oX`^g)rp3EQPE#MCTf!^9{TLkcC|bs53F-eIS``Ly(;B17yih0FwA+gKsnG zubTLsCjMhU&5R-MB3Us-aA$o8GG_qEtN_UBRT)?VNanQ$=K~{5?_lD)15%itfXqM8 zz@a96guzDwaughG@Ph%FZ=%5`0dlH1%*4+CB>h}Kw)G-F%CXEqeo#zTh9U1msmvM# zdx7WzLv#rsgSWYqQn`Ih6pzu# zZ6Y9tzNIF9g@G#p+4W8X^bR29nFt*LxCW5rtp%i<7Xh-6OAWjNkU1X(L?w4S^gIs| z*O?-OtsE@SpAzuoiVHC4Q^r8zdm)I>3jrAR7EqY65b9|_R^~-OR^nwq3jaDF$K5vp zS*eczbqkTxRKyT6Cn0kZGAE%Ov6++jo~k_%o(0#rO$b}5HqTSEH&pyizE|l>u2g4^ zcAw7y%aSJ@!DhZu6d6<-2z<2>q1pgT{&#Y)etCo!Em+>7VyP&q2QT~(D{W_Be?Y2d zI3U$C8j$K63rO`%G;oHAKN^tq%M4s);2Hz3Fz^}!ZvbSkxY^*h0A_%10%SS&0@7pg zD4-|Xq{k7G6%n9r+LsYp0vbY=z1_f_2JSNOBS4*lp;JJK^@fz50c6gCffWYU8Q9gp zz6S0GNU4VdQVU~E{1ian+}5%L{E_qSU~@C{Ib6PnoR)Lz9Ik1F1Emkj)ExI^*k~x5 zQ#8kI=dqoO<1|a4pNVj9I~BcQu5%%Bw786+Gk15r$QZtiFV^lcj5O;-kSN%TINp>F zvWS>IQtCyB3lBtWX}YTkS<|D91NIg~8VtcsU?7bps&t-2td|&CpLx4BPcy z;Dq;;C>WZHm}dal;yVCY*4qaE)ZlOwNKV`#(AI5mJQFNsR{^q>8Vu|X$dP_9AWIx$ z;>QAt^9hi9jl&E)+QiQWWchOenQyUyrvb8VYYcwAftLc3euIHmnfU7ryxzqB0+63A zf|~##!utR@l|Kr|l3oPVT4U&!h}jNEoxBdnGTsCv*&WoTVq`WObP02j3fP#>eml$|?h}U0t%Y)qCG8EJXam}4vK0pj1x&Okz zjUn#;ZSq)2sc~V^EZ(o1_jkExK_WX~*vvGkU}np#(ZpK;Ej=A_q_^=XWVef$t7PDr zgdDpacuFJ1*IdF-L);W?0^~aJUO@jH50Da!0Ho5#0Fr(zAjgG+P5LAQX8>|smG?l{6K!}w; z#Za7WC@un|x;Gg78iQYN@S6?3$>3W6S;BLGJS6%EkPEC&Ogh>^_(cHebtwa+xK)5! zTvplJBH}XGvKC10Z51L0xu|Og$icTeATy0HaI}GA4V(x_Lzo7rJwVeDqAW)NY8e>v z-VpXP5hT0$fUMXegRd}fC7{m4(8-`U4UjEy0U%X-g@Hc-q>8Trq)M*`B#R|)Hj^2~(Enm2@ z`=vcE`oa~VVACMz=YSO4#is}fQwA0Qb;~e><8Qajq;xQ_V~K*HRiNl@Qu-S>xJ1Fw z4?r;-km{OXD2@iCJquH|kO--bvjN$~)&SC|E&=4$;c`Gu zE>{5h+Ib2wWW}68NGXWhvTQmzwnV45luY4>N9dRFwux!Z)AFXYeh$j#y(^2Cs}N+t zHvp38MnGoTXy9f8?*%0D2LVa{sKK8y=}!Z45%VG-E3gfaV!jTj#boF%#Jmg0l6C=- z#2{V8?2Se8)rVfxf8UUH2vw^)0><`GCgAG2^z=HubCx*-o3kJz-3LvN5 z!vM*2Iv|-G1;_zmHXti950JyPDAK$NH)>3N1O%(RG8@Ymg*_dwn~ZwfrV( zf03#6r3S7y@EQYe2Gn|I=v&A^Nd4Vr;2k9jhUOrJkZru#P;4ntFti9MgyeBAAY1n- zgFgqTOJj(m0wF1%2PEZAgTD#5r&hX7T50p{TB(`O?cdsHwk^atyqpoF5LM8Oc7 zvlftDw4@lm1vey8zF)lUmazKv2*8{Q{e_`Tp24v%H2Gq^U5I2W} zgfn|V{r2`;k>1pDJ18NR{ z&Qj*YA7uzapW^@H?QOucy0S9SQ}vN*WRQu742>A8k>+wSA%vz8Y0TxPpb1?mXi^Xw zX+G+gDpFLHU;U(l42{SjG7KVM%rG&;h?vPB4}%dA5s`_AJX{8mCnDw|(%gtVOd2!D zAcOI~@A^4spHuZ!i1u^qc}~4+t-bczYp?xt_Sxrr=X7UMTvIh@O}1lz^taMVS!n!9 z;~R}%ZF~U;3;muEzJf=KN~6u9*v}c^v-Yi|Q^@)&?pvsTOLOZ>HTCuU*$M_nqS(i+ z5C-d|enUfzUoZ_`W|3!zh)Hh?`nE}!a@sV`#u}vl7AZt(Tr#{I zYmoY1NFh?=j^W){gVeu83Xv<4ho&)NgvNCYkU1v;c@JYMkUKojntT?Jb8H2Wid z4`dxa0jd#FH722>LojTK!+h3psoZaiC6cuCvElt#iB#_QMTsOW4H~I91gJid%DpE_B&jsgaFi<1UeVv8?UL)% zH^f?zxwVfaz-OImf2ymYtAW4uOP|Gg6E&G>(J9t6tf}oi=Spu0XFFCb_v=z;lA}=P zF;?d%4JQNHnWq4QZt**k2_gwph-?D#jzK2<;835No8-S>=!Lpn zP8Fg4MB@Xeep#MBpi*v&Ja0;JyXo~lIplsV(SIn<$x(k#rkp(ENzUR@%1Ou;7h+__BFZoIwv(RGG_a@*ebeC z|Ez)jex1sUetPi4HEk&}O^NLHiRK*pr5*;`S!R z>medF&KaJMHAsCODMV^qHoOvRka`g*L~7hH{4mxa#Va`?d&BKGg%q#gh|K$uru5rz z-z$^t2-LRd_12^PKFX7m+d@sAfs! z{)d<)Nsnh3&Qhg*M+=|HE{(p?-)QN*G21?Q2(drMN(?bSZ z6Pc%6FmS@VJKUv;pK>9?r(A&km<-7HfftICA6a+#udO=*-DE=x$xqdHOnIu%*44@1 zVPm#&QERQeg24ounx1pnCclL?BC@Tj43}zKK|<>14B3f+V~crb_HOx z3n@g}4l&WlJ&?}AL|-9s=Yl*34l$dVS3#6J(yhMqT+TbIp?*;KHW|%K^c768LbFa} z`9~;BFF9CPv9)91*>A?4J%Vzw#LX&uwcK4c6+H+_(%F_WSI!#gC$m02&CIS;3_U=e zt{$+vGX{uYqsoHhA*Aor&)0CS7!;rpOi!WGlFYWR`i9Uwh^0AvZJPiV;lfRqn5ewg71 z!_hzu7pdHTl3$HXvc$PShH1X>D}fv)^*}n+1f<(NK!#xhkk#B`xZChGAlJM5fVn@E z$E)8n(HS63UIa4hRUjkwq2X=AJC=SQI0Wf~emIf~su4iymm7`&avGUr{4~R7f!vR) zG`<=rRWZI5SdR2=jTuKWO|VApO1!1z}V*GI+>wXeQy;Fvl3@=;y2SC>G8jyBwSo%%FTPFVq$cFqFNd0?2cAN)5 z4y4jAXgPRAzStQCg!BC_ZeGNuWoh)6qFDSI?h#irpLN-SMZlEa3w=m+?*L%0`yf$2`>zc4DfLo&M$2gx4&1dt6?4rHs32C~5>8BQ^rZa4$T zhMEQBh@S`K$f>gQMTScZmm97Gvb=hr_9{}jzZPc-AlZAi13A&}1hSC*KsxoV;Zb1j zXZ|%izzLH7)K2mgNV@=PB9+@CN+em#LQ|?XTnc1O zmK)y+WPd4`ywl`8CSPm(ZsT7AvcK&EvLEiZ^mi=%fbnOHKL=#>F93O_x(E!y`+MRn z!}+5~B<5PFPRaxAAjX#7JQjjWb;S`9gapZgg(qhA5ZBCi9fbl>=gKpGh^ zMiC#Dm-InEhVBXD#~MBfr2ZtsDL~qt0SwytKgFwXE^ryw+)EzuY|V3jDQ`#-rT;9i zUm@x3;WhMjbl%gw{XE@EVdtYAkBH~XqI=`$`dS%QD|bdJLz0;*3~LP=4V!>mQ?3Tm znN}d3=>!Im`Lj4OSx>Tj_Bz*Vrp9PC_nQ3Bdk09m{~D0)zX4=-J#2Wy($4{DQv;cg&@`5qwsdK1XzJPKsF$AR?wB#{1;j@9%sAoT|Vc_A^x_@RcwfLt3q0pxTr z)_8mlO!7TzIM;BQ;cCMIkh^A^fsEgNlOFxnul2Alqfoc+Ecw$a;=3ehQFrpAY;3 za4C?_Tr>gM57z_Pk2eG9=c_>4+XG~OIsl|!M}gFP56HM&1XAz1$!`MLFYj6ULm=ZY z;72tM1A(+N+_2nm9FX>w8s7+H+*Sj1CD(0|^@iJkUxvnRAT91OJZN~t@EDMhI0IzO z-Uo8#_`uSy1L@%%Bmk_%ntVf%NBw@pphM_hTTJFZY40-$RpQ(@FSJAnS!Mmk5qA#J5Kz z|9Imk0vVTSCSL;NQ#8v=z5@6K@Qpyu)2%@IvmQwMn+&%Z?lgSM@UY=Y!_z?ef6n-e zhL;Vm8h!|5Ik%1f*zmsLCx&HDtKLW;{h4Gq&2XNjR~o+%$nvX!toJg*S|H171k%oG zAmiF?@{Pvt2C|>MYWNnA?Ya+`Yox`4pom9+Q-H^Tw0s802%ZD7gbRij4c|AsZup_$ zO(09SWBgs?KQX>+qSj~-kUeN5kRDC~a)z4$WO;LetWgz^{#66%*D{l@1Tr#>mR>Mi z4`lu=hC2;k2QvSg#=i|@{=-0yup>a0bH>sySo&4tuLJ4NEt7v_{5|6z7+?B~mQx0# z9|H{s84kAep+MGq7?8`WkwE%02FQHlEPaac(+uaDyb?(LWkA-e7RY!W0dn3t0i>NX zhUX36H@snZ%kU16@&5$K{AH6g|6m~NJrqcP$C~_E!?}jlK>D%N_~k(Qw-U&>HX619 zskhN^H<0#U1JeE;!~H<^qql+DQxAiprDMQJz>`4M@U-DM!;6NO4X+t~Xn4o)0gw^H zE1Ja403bacV*KMkp1Vf?S?(y4j{~v>Q;eTwSY=oZq@AV4HyXAYc3S#cdqC-#CckL-K9K(1HvT@4dJlmtf52o#eBeZ0m4wfJNIfSQ;)CrH@oC1- zGMsBT-_om$Ut(Bq*aT#c=?1dp)|z|+ka67(WW8QD`99;{we;ga#_>HM{k;UFoohhW z zZvgT^@l7V*3S_IiZupkrejxK70CKY7nSAl30qaFf>Pu1=>8ORgZ zG$1{w05Zo4!zRPkhAoDjhU0bO4UW%2eL>_L#y20&&y z4`i4w1DWY#!-qg|YMRQ20M!_&+#gEJ$AhF&1(3$(18J-pNMoxFI}O(xZUQpjX5)7P zd3t%nIF+eShRPH-cooOIh*eoDNzM814XahJPJMy?sD7)IlI`R~`Yf632ne ze-+4bZyVwhHRd#_+;?;{93+S5Kp>qM4`k-)KsvVws5K>(+ajAkB&oCv$P#LSEP1Wr zMoZsfxEo00dkhbn{18x$llpaN5UF_tNXy58Ea?=Gbv|$W6(Ae*E|4*J2xJVRXZ05D z03bbj9LRj5fV5K%r2TO~+8+;84@l*HN2)j3l%@fh=~=@nARBIp@r{P9KsIbQkTKf~ zRHLNYAlUL@IAw`K)QL|_?w1!3$)}PX85GxL?HE-0$ENwP#VP2 zx0?K-@t2JsHAC%<1~T6yAbZ2JK-#MYq9&im|Dr!x`5UZ*@Rq|*S;B=+eBvSFM|_gv zyR@R?Bd1S?&t9gVlP~MFw8LUAcx)O~!`F4<=P~f`=2M+DwJm(66G`#&7KlTtAb;*t zvL_B`u9T)e4hp^S>1?nOnt=bcGqExFxaSfeJJB*A=bI*=YLLpCgc?2IiECpGQjL)8 zw3K~@2MkXbo-%w7$TBV&f5Y%5kekQR%*e_H%BN+B>`FxSiaM`DQVOIuLku5J<$cTY zury}%QxJ2IXLk(czSiI{qFl?O&HoC?6IRA}!-+szoC>6+d4?;29J-A_cKp>qi5O5V zt_5`xDeEj{i{aK-gVY~GgUGzwfsDY8ScC5UcSwj{A4kqklsmfIVuzIXi{$(ZZ4R6OJ_DswK<@aR2Qs`Df%>wfOQ2ZbEg)UK4}1#v5Xium&Qkd>ARQbHr2aUQ zPX^NBER$Cl&b9PvAm^cFK!&~E(pwDMP2OYt79h*nZTub}{n~H*86cbKDlm6c)-*Rj zu7KzhU=p)_-n--hE{5Kr{uPX}VHi0gjQ2Yac72ZX;8P`3EOIH^`}z2P-n95xuV_<~ zjZRDHi`%kbhC^lJDNW`G|!R^&JN!Ayy~;p_-Dl}YD0NRuBHrl&YI;!h*qBW7!V z8ErTY$bK-{aJu00h!~p;aQ;OB=z5rLS)X1 zhL>UuQh$OJA~h}>UNgK7r0oxlzXePp@MlN?FB(Kmq0V0*wu897z3< z#y<&UzFEMDz)GMxMJo44a#^s*l$HSL)JhGk+{~#MSBzcuWq%x70NFh%T$a7zn$P$Sz_gRnOpxkFghA-q@-{oGe^IpE| zIcC#c&;4-T%jaka%gxR8QM|xXG*;BfU5hU-v*xnJY9>Z8_bYNe^g2k!WIvE)9{@7b z5g>g!Y4Qt(7l9nH*MV%s4}pAs;x15)lgb?zKsG}ykl}6xvbnl} zTr>Ar`eq>QZUeIU-U71i4;UT>vSS_tQty4B_61V^0g@{~PWIPL;}(z}KQtUPPjij} zQa-_O29O@k1M(rIDwEe6witE-S))xr>TdzEMz2}=9>X^b-vM$WI|NiuNp(YV6sW(i zJOzrj&H(AtSs-hF-uR0^M&Sd)>p=Q=8^|br1Z2LCfsE!|AZM!wKrNFLulF7TsX5>| z#WJ93koq=KMg!@~c+;2)q^%i1j>>sJ)~6E4vR44v677aPK$ft{_&0#Gx6kDJ4c`GW z|3M)0zia$SAj=sxU&|c}Wcmak?M?rN^0krD3<>X2Y$9dkpsj z*^bA78WEts5`-k3AkvA?g4ukZmG|-jr}Uxo&%spen%9GOp=ejXY70n`sc^yzr%0O%nOQDG zY?h3Fa0^`*#aA9C&;A=XCjQ}#p6M^iwQ;eSqWCxxB z)ajuT6mu>G^0Q4VfXunt>J0%h@I!(0Y&eh}j4~VzWF5vCKOIQ>GmNhQvYb}K zP9W{{So%63W3tuc+fDw4;ai6LfwX%F$R8mc1=8+0pf(t(S0T9wq=%ObFB{$hGRIxR z2SCk9Y9CStEQsW?Z3vJSMgZBq<;IT#veQg7ehQEe1y2R$YFMjjpjgH{AZxYI_@zL4 zUk{|-F-t!SWJ%`@Zvq+ZJH`)QsP;w~jy0SNWWFgtUWzOM>d$P~ftm%$Hq+P%WW3%0 zvgEr!wpZ!bBY7}#Fp%=)Kw4}y+ybQDo5mkDJPYIk{=D&*3@;mAGrVDV%kYljJs>0W z(D<@N$`1yz{L#iw0y1K=OkQR3Wk9Z$mRowg$=iY2nxt}nEK9r|kTkl^aHHX7!|jH< z4c`FLxqZfeVEj$Pk4=8xaNrASf4JdDAe()R@#74q0%^Cxa3PRE-)H;*ApJaO{JTI_ z{yoF9h93i|ci(V8wdxH6QeF;ZaK-{P7^HGPFSl*RgQOD^fmEJsINflTVI`1y3yoh7 zq%&Jh{;J{Imi~_M?;0KfQva0U`#_d=)zWVne+S5)COk0t;Kiyp9LW4n8b8r+GLU}F zGhA-+M#EOaP9XDdGJXe;v+%1x4GO8;$MX9Wk{obv06Deq2eR8AH2x3}nfhHGY{WUZ z6mKh}V)5lcb}RF{_JSjQZ4qB_gg2YwsT_C{Upir#(Elrrf*0B#U%&TP7>aoa4Uz5n zuHg|45hSD*8?vR2$0?+K(j?4#8a(k#tU;>AB+Pp*P9eoJ*kvH|TsOR9_yG86P4xR% z>y$za>%zCeb(h!p<~jQCM8jC)0I=)0J4JBb*%P1dV2@8C|{zzc?^)Xnh4~S zFv<9(K+QoacUyL@)`MhlHk#6QAT#YS{s>Srk;?soOoAkt>6j^_|{8hv2K7I<@D9sBzdRgfhm=~sMQ<@q%(t!A7(fbs76TTepA-$ zPk^NIXdtUO0mxWQGJZOc(`^M%GXpWQrP?H!naE6i@j<447hg)Sq`op`<<9dyJN46> zM3m&-ijBGlj#@Gu&;5=lk!0dL!)n7NKq&V+0sW{`A!ztyh>t?huuCbPZ;$bEqwKsMh=lV1R8sifXS z$`wob#BlIZ*C2HW8bg8fXT0HL)#x{tzaz2yl9(zIOWvl^TQm~MVmE788t2PtR{DIy zR>Mx9)`%3hX}f_Oi5pB~JCG-*oyH#o^0vTXAba0YAXk6KE&U`=Et2BHO{ahyv!_kt z0+3OCAIOq!7=P37p5Z6JT$l9lZ)jO%z%L-jKp*yDsUz~MaWKO`~qjB$UD7L8SFlyZ+E80 zE8|&-C=eN?O2aB(66Y5zP9DccMQ@M9(#j&15)D2j?x~KKn>*{8bZ5WsSG)B6*m(T;QbZ%T1COYroRE=~S@!zZ8YGS1+YlnI zMPGYkY?t4*_F;>k(snZHmw;Cx;Y@r3$lm)AkZYH+W!kcXfs~H|vc<;&ImbN-WWKpT z?V+TOLoy%8vg!>t1KF3h0acUKpF^_UG3eS5=jzu`f{BS2a>Vf;CuS^!3OkwT<}{xHe$&@^e2Igs*s{4Y8# z|43FAeUNim%P~%8S&P>a-ZAuMC0NKh<0%>8A$t!`HlT;T{R+vVk;cCN9!;QeC&5E5R zRD-%)k8A<5_wO_tuNl4pgl503+$OF3JEHjiM=PVD)-<#Nk->q~>tL5vtK|d;C`zDaH5LX{w+0NX`*5Skh;V^m>b>E`%3EdNaXr zqIv@fsU?Qgs4$Iru?F0UmLr8ojSxSrhy5r{m8H>tMwX&A>f=GW&pL%9&#B3II=RS+ z*R3*-_>wdT7%G!wmQ!asevATFh(y-C63Cgq-LTW-JwR=LQk~G)U@4n`IeBN#AyB+) zd=#j3$IsX{; z7_I|yuGj=ro1`{EvJ=P=@G6j&_5f*NpW(YEKVkfN;NwWY2;_))-_kz>s%28Ygp`}W z9NsjH)k8kQBmH=07|1fl16jrdAibId)V!n~Kr$I9^)jqBTx!^4*b1bD0+1HFfoh3V z?q_9>WwRx22h!+n<6k%afbqwHnwgY+bmX)pUN*b|WQiXc{{X0&Nac1&i6d9)q)`rJ ziQ|CGG!2;BDo?!5wWKN__kC9|NqRy(s2_o3wP~~irEbP=Fx(8J(JjVr1yXN2kS(+u z$eHU+Aobn>a^^Y)%r&!JPJrU}*I6JnZvbiGBOu*=XjodK&jSqx^8VgPAoa!qR{$ph zS;ADqS-{+{%C`s#AlaZBfi$@j$gI1m(n2R*10}c0fLuzQ0%|}><$g~BdJ!bEzfaK) zv0PRQ^6yG$VmL^qjs4M z`Cw)QmpLb=XGVI=GYgS{+^jV)ssXsuQeFcx2K#_)+5;v(YVwmn_Pf(2KWp+UK#e-7 zCD6DIWDonuG#(h1p^7YFnBhnu^`0<(Jn++^y9z3$c-apXi$^yOr>lGEynKva_?^skaN)MK(^02hKGP01@9Vv((n?H?REvoK6TCHcY!SD zK9Far;q@3`^6JeeLGh7{DxmfiwnZKiB5e?vhv@rp?1kj%6VdhPD|w#Lh@aMHT5#E4 z8l4lx;(g}3w=owlf1j#t?rg*RV!taFOR?3yx-tXHEvAJ)hNT+Fuq^@dB(N07@Gb}D z3T)IBposNAJ{Hmh)TSZzO-NP)+05NQZWQeU(&m1kYLZ$5$yp$+Uoef^KsMILK;Aok z0OS+9r44Ga49E-gAwZtwh5>1Lq~TZ~YcU;2y$T@p=3Dwg!&)HA-2haNNag;E%;|?f zvhbro8b1wWk2(vau`4FO24rC$8ei6^`a^-#8v$g#Q9wS!IR^N>zzINoaDF1Fa!95C zSyH9pLLkGi6i7Ggjc>B_cH`Fp>A?nIu7-`W2^4WVkO9~U{BhtZpjMRBJCM8wWEmd- z*;vxAEuiKg_1j1pf+>`x4Fghh9FP_!02!|-KsMI1Kw6vyq!06fjK@MC^DQy# z0n*-fAoX4aQtx$3f6MS3kWsh=G><^#ZporxXp{ESX+RpE2c&Y9@k6y7E``X$w#0nfWw=mYW*$`%H_myUKAgFGWxFF*$iI%6#(#eLHa?sGO~^k$dby>Oe+YhL zzz@&y7cJ^P)A=_P2kY}D4*r$n2>CF7U+LQe{kgEe-}tP5GZ3rWNcZ}a|2V_n&+wmQ z_yOoKqs>0^j|D$4;3t5e6!0s-4+;2I@Z$phRq$g1{uuZX0e=zv@PNOWNsk`mb-c!l z{)`9D*zq7g1^lsqzXQHJ;2%dYJpR-l4SrLQ4l)%l^4=cQ=U-UA{m5SdnU{YHd}F{< zAEG#&d>9Y%q5tG>n2hBu{9F_b3;25QV<7kPwxBWA1?eDD2Aoj_h1kVpwvpn)w{&iaa*~gRd!Sw13zdFOiOx%B{zoCzG z9}i6DpO0_yuR`C)r>8#w9rus=3ysg#_aw&NLF8X#>EyAPh%N{GW$-@#GJQClK?uaY z=z|XVF-R{D(ksAU40w?7_!fKL&ySQO_LhTp|CzovlYSDs*VoJU@t3XNn;6)apl*8V~S>Ne7Sypg{L9$iT6ljkSySr!lS!@y4rcz&+FSbH3T zKEI@1Y5L^({qwN_e+~SKfCm|uNBu0%?~hM5oos!|F&FcX+32tH-hZ6ml1caaF}(o$ zKK{t_W7r-a^8EBQhHz}3AGnVD6VmxPYhN!>|1S8Ifj-ETJ$_v}w7&v;XrJGT_WnV8 z{6ci--^mQmPdAUtl;`ck{A01|Z0sj}8`494{r+)M-*ZU!{y}^Es&PC%!1DvfXwo=7 zLy+k4$+p)8RGi=S_59@d=kas?9mv>5&bxi*y?vdp2=u4G_=bQ7iPwUs?RkAXzt4xx zXZ^vFR{Y7<=S`fO`5{y9PvrU8(vUx&;jd(Pet^`+H}mteqs7X59ELv%@{{McG2LJC z{OqOMBR?v`^P`s2tv*cWCn{eLcz!Z+R=`h(aktm2{>aad3VQpz37(%4^!`hpe{5!w z&d03$?|M+RN7BoQUulHv!FUwC2 z%HLidMVpP!#_IysR{UDhLQ5xK556_v`Dvk(0nd;5c>Snf`XkBtfjs{_{>~$d_)nf+ zu<`kk{PYZ8k>M-ByZ>%)oW+MczeW=J%kn=C%A0|c>*RoEddPPoeIEuO<4b#d+i2(? zUo1K{lfTjUY&^@cHssqZU$b=beB0#tfCm}(Kc@38T+9nc zd;cQOKMXhVPxzV1`Owp61?i6?eRaU|tx7b*`cZ!?__BZp8LyArUZ~Hv9pU3eaenIW z#zA}8`t874oiCQ@v~=?P8-;`V&Xab&Fgv(Dgg#%?;^UM0eC>+2FL}OX#mBST3)A`H zlve}$d}+zKfam){(ByIXe9wo+!|hdBeVrc`=<^L0KHiwlmrhg%=^&#$0nb-Fgz0=M z!+cB6#_s|;0$(d|$I{93m-|-&p1+Ux{7mO>*FB!(`AhX9=0AD<9mYW&=e<3g5A*Za z&CEtw*1vXKtMIqG-oMH7$E_35L8(ukznz?5`N{Jak=?l@oxiDb|Cl}&!NGfI;{5y> z)yN{{-+?}VGgM~jx`uq)$`jEOPS>Pqm%Ig4>IomOy?hlNUTqOP{3Cpf3f)AL4N+GqTKY!^Uuq7egtHU z0eSu^Vp+iRHxJ(bna*E0`23iS$I2hTT#579yd!{j>gW z7!DthUTXf3KLvhM!1Ebf3~BL~>3ov*iGb&Gvf~4uPr<$&@O&n9VZeio+ne_I=l*8> zEB`kbkH|j)I*b>2J}21}@O=7lO2C7R#}oB8B7KylXYFr5I-lqB@kgFd@p=5o^BKKq zmY+PIyz}DeHiPaK`G`HktPYLfjr@?%lhtUw=Re0{+5 zEYD|FC}s?@@q7I9xVA&Ow+H#n;HyoaJfGI^{*=wX5b1n6!pBQCy&dU%>R_19*WmfL z6-QtGyoq$)q8@4K@)pTV=_OH*E^e9p75)1f7bM~{+6R) z-jkXJ`(LeETt2&e@`Nu>m>AV`b+&bM))YGP_B()vj%Z#XY)(VPg|Wkp5`Olv32!1Z;5$E$O@Kd)uEu;n!1-dqE?dpa)%~hzc^ISjG)zF2fZ5pGd=2cBe_LhdANv*Pl zy4rjT+Q{5#uPM~5fg6ivRy?;Lzi7@2iz}=1RST-~FDzcTaKWPLITcZ%anhG2dPyn0 zIg1u8SQN2x`IfeqThM7*qI|xwtAiEG&uVLHu~OiD7iAiPxT8l&wJZq_lfB$l zc*)t0hSvJLv~jBV=c<;>tbDE_kN=D3@b}#Ln)-UgwY0NY-}s6dAg>% z@D$?k^aSr8On-ZZ%xYZ{rNCl&&wwKq`w7%lKA^G+6 z(=vKsyrZR|p&dU*Z)-&h=#Z*!XsLN6zXn1R)YPx;>Oc=^X3tZdmut{}k`b87iD-LH zH@xPRyjpE(YirL>LY2DFY1#_;NzZ_;!w>E{=_^NNgi3}bN%gcOb~m@Eaj#yAt}%1= z*Q`slwML8PvTtBoX+ZDIL!h07{x;XQG#J~0-#DSzLIG9nY-Tp;ZQgz}7kzc{^K+`I zOQC%0zVUEJg*NJju zY$=_|_WaDH`R8ZO_Utdb@Z5r`7ed<=6^qdEXU}wB8w=+|=nEXqp$e_eiOmK+G2w+dRTU_#6TPKNi<~`sX4KrVu+X-q zxudSDtqXHb!^>Vd8G!9*sb(}-C#DJS;b;)_ZjE_+p#g)rA!=;t>S!wTSTJYJtfq=q zYe61So&(qO3#u%aOfH2k%xN7p-3|5mjzYsKPwGI!*3`d}?`%Uqz)Yv*bgaR&*nkEt zbaXUZ;9suk$hS2%YB6&v^3Tti`NHBwbEHwVX_(qn(~>Xr5>LeBIlMzo zOObx4-K1&(S^%}wCPt_1gb{0Yi3~d`hNed@zoxAovvtiYE%>gEg8 zGppIu=v2>DqfdlQ9d~!kaArZyZt9zMceF)b&qD5Uhje+(i}uVvSAom(OfBavz&lQA zYF|O0&7>@vvlN|MeXCk9yYg%7elO1a+8i^_X{J!~vW8s?d13MF*>hfa!4w!`a~z$x zBEMj*{KHsaR$i465~VO#vs!2DFVg z1x`CY@)uXdr!Z#(h2M#WSeb8 z<7x4s7AInMklI?zyqRcoMqsaMZfIzb(+0+H$14pz=p7l&+4E*rRn4hHEpVo^0JC*v zimI(?#yPG>B8u@Fc0-F6JEyIpvX-mnRKK`j(bpJqjhS^(?^fEF9Kn?fUd(2myJ#k7 zD$YKgJ+`W_j$Jut&cd+0GZm^@P&FrB%=oH6rx={yc=}Xt=y_*Pd)v!cmZ(JHZjy{q z>v&5SE_e|Wo2v7S-Ow7>UR5ld2tK=Re(ucYD$%kY!+fU%G#S=4t=c;75~iVS$=*9V z+d479u#;spsun+w30_85CZ+PZ7pmuAZ19AlC3IrN*0H8{FThzOYUc_oW1+gbQlrij zMdgBco|2xgvs4}{lcwg@UIU&drf|?>@inJ94nz}I(=u((ejdyC1&e0K=OyceFD_b8 z_0_ygW4*jWg^=+4!fZn2b5&oMfr%SGg6HjwT z0j=8clBCP2is!bDuG-j^oG$vCnUF!|C1%tj)qHzf2i8tlBgJRtd`DYXp{_y73X4#E zKcOazyl1AQ-4<7pVnWZ_3Jp)=2klSCmynn!W179Ufa}Ki@=|8U;3pr|XKcUo5Lc0rZ5^_r()e28_+rprz>p(hPZaP@|s_T~Z>&XB(Zw z@;0+sib>^SrMadBi?wXAJ?qnr5@hpi%Zu`P*ojm(bbL%U|cx$b6XNh=O~c#6T5z?S|pQSwgl8qPCX$IG)}Lt&-Fcw~AEV6Vcbv zbTAWNcGtJ*)XP3Adqsx5T2wsJk}BJEGkArZ3bJmXnucu4`+5Mb+fYE;95i*1iohBEge?9^ zJtiV-$3TUf9oV7h5xxzh5|4LtIu{;SsvQkNHMh2R#rstp$FechT-()&?Jzq@is8?53^zB7L3ftm^_87^$ZMJ% zkzBa?Rku7pkBjVlZChJs2UaTW?YLs=bfx698K*~WU7ZL^yv-uJO}M7_jTJM`s~jVF zIZ0nNqf0C1%!LllzbIT!R&_Xybo%ZHu1KZD-EEzkQ*~yWC#B(ZUOlrClX1#9oR7JB zOSbmdd2sRBRF92G?87y5LW7))qd>%g)7}{>aZ?bJPMoRGfL3T|#aT3wsdaQteaBFq zJ8?lWP7>S~O(f|OyoV*}XjdyFpH}5$sIofYu;oaJD}w$K z)3R6&E6&jL4k~BHAQM*3S)Da7$tyRHdL8!?Gc7@{I9bL@jENT*hc#4?92WN^8v>Co z9s(aEiI(QoEzMMk-1G~a5KjXh#;|heN;Q2ZOYD}!g&U%5m;#skIKbmq{(1h=-}cUY zyA@q#O=F>!fzTQ+GgG*IG`y4SU*!Rx9uY!Zx9@}}HXSk+Elu#ob6 z+8|lcl(<$^O@449k5i1KT6UIJF!0+TCl0StyRnHFc(gTf$-WB@Nq`);XR9l1F53vnh zs?vB@y%xab1MiiYYk7uDyhQ@edoCs?B- z7Of4q?^BBne(|Iu<%up>*(niQX0sC;FMnla*3c^UnUpxob!cZ>#OW3%K^eo6xuwOL zjzbR@QfshXBqd;FgbjZzcnfvbqH9D`7FcX&t4gXnYbaT;3|YD`b>gIFzC0QClUi5B z{el(sVmq2!8(B6MpRwC@sX%?d@mgLauc(_y{CYpbg<_gC5`_E=l06FnI> zlj=o`&VeZDNTXZ2q>~cm!i)uCrKn?fO-)aI4ZD}`AXP2I-ZRUO7c(+dc;e3ti)s8Q-?8@JqwLMLi-2v6O*`%-6 zHNDh<3pCb~`}v)(v^PkR+7j$wxX!>F$lD*ZAlKBKZdtilio1HPB5A~}wR*WCmcEHz zB91dBD?#46nrH?MuEv_{c-s_-cLn*MmXX~(l&QWQS6e-t1-O)QjplZ%4AtSD zafpK0&f^3jmi+Y4t3TB5jZCl$s3M|{p!IVLBK{UO>?G=SbrwNd$GxsKHSJM-0hjWz_fYk&z&41Z zCB3;$+PkA+6*^v{&XU^L*eioadgUs8nL*}mMq_aPmU&tx>H_u&+Uk;-FiEj1X*x@x zTt;zy(%h^gj6y#*M|go7pW~2wt4WR)tZs0ll22FY-04Zuv7EseX>9J1sAxf$M+-IB zOl`qx4Cjw}JTZVbXwuzSNoP9TG>@=kv#H+g=|m3oSX)cLsY><+P+u#uC0~PcJT^^m zLp7@$=GSQ#q4FYle`QrmTP>dTaFLafobai`B`J!<8Y9+|sApnpCt!8Y4eG)SFG+F% zu1+O0x-ZN|-BgHb>Tylq*^IpvKEaYKqNE+!T&X58Cxe=Z#ekPlis;8JiwQSxts8sd zd@WWBQM>rzPHI)c;K?#nJ(pIqd6iLNix!#Po#!}1wkCN%fv0=K0XHSniohXMt9GaasCVKaKems)zo58R zmuv9cm53WprlU<(%9^m zy|l5VtzBL`O7iy|)Hu#+kd5MQt0bP=cs1Y+N?QqAti-g(sVD>kN{h+#Hfwckkqd>r zZcGG9ClPcR0OS7Bf!W7f-7SRgy z4p+t_^rqTZWKqt$6+CaCVi_G95K#ks#gyFM@=D&XRaqCkK^C#Y+;iQYhehQm=2sPuJo`cyG6og|_O&x0fX$&cL%PF1`Dzgp8B^>xu0QECX%Q z4Qyl!PnC(2K%g4OEZweF=Opm49=c%KT#~W3yyz?=_A&dLC)h~D3C*LJ5@zetz;*~4 zKo-BPHNlk)z7D8oC)}W|t0~|?GM=rtu_#y4@%paVxk*1wsJBUYZf}bX#2)g#0O!I2 zpB%!v)Rv`eEtbw}39hoa@zdGaLM7aDD-@b>iD-6Pbs0x(kZLSGqf7OeWQ#q~(zCUj z=Vp@^ht*loFICwkt}pe#C6NY#^O{`Jc;MoiNr;j)aOW!D<#R)}T0@muT6oKBRYT-v zV2%SkxjzV>7lR(>?PU@0P)1zG4j>vI`Z$>P~{ACEykW9$?^gi@1|2H;-P0 zAfOw_{V<}>M zPfL6T?ptabI*BVycrdn)(%t8@6`8B?u;GHmRkP*USv+HiN4QcR;T(*)C=_7`aV3UD zk`|OQP_;lz1mR9@vIiAK>_d|l@V-1}S-65pX|L&66|HG*MOUyND%42F$2Fwg0)ai- z@s{gA-Dc;lq0mf}>=lM$+q~_o262Y$_cP)I-EK|Tl;K+3XTT&9dyQSpgkiVF_I4sD zVnn-t!^?=hH9ywvcD?a0-uUvkAP?l6g`XV#5RypvXsWqRfPz9Plzr3ms{eOYyGSiHo&EX?}Y zA;Fz0**Xwb^%MKZiK@lLVIvoL1A)!ui3VzKdmn)o%g4-&D;e=Rw8#Q<%1dm>l}W+KV9jDC;i58qVoRWJ`}R_%m3bdp zZvqD|&i0>&aUlo=IkRv(Ie4b04>Qn2SK-R8UY;w^zGw@gj6QB8LGdY3Ol7h?41Fd6 z_e{B@;dVD~+gQKqh-8Zy55i*p32{eLb0aoyd2Yfoi}&~QTBvN_!EQ%Pgay;-OvRIUquSOie*3+yrnRjV zTO}=dTWNC_6c0{y;RiN+N9PJ0Wl__vlIC3{q^}C!va4jxE>QYMy&4=P@`eSGxu`6v z-&N9(sMbR5bsVM9Gkb~qg`T*Vc){ev%O>|Cu7UqH4tK@nj#)Wh|2Z6o%Sq1@KJ7a7 zM&p3%697|{d=^llq!-|dULk6K1&8yV#d*&+6gn(`6(%xYX*6*!k$EU5^8KWgdp<|6 zK*Fah@ab}GJJ-uaTmEfaNY=P3eGW>K#ys=ISkV)*8Oox*y%`VXLBL-Z;GcD zFROJ|Nn6sD%Az#SH=^Fbku8mHM!naEoNq{d*oT~NN-Z7G+s?C)&A?HDcfSCtg=}65 zSgE8tz7Fgb5jAZG>{QZIUkAGnM``pEaexkiKPs{>#WL5A9>a@waAd1R`4Eve?kZWe ztAz9q3a=w>H0ZGb@Ak?}&d$bkzG$^9s@+vm7lalv+R8y>x2M!$D1AmC(=ZC8}y%xrGqDhyzt8@I|qu(KgIY z`d1IW1qVF&eB!gGB2z-vI{6U#5nggA6990b(9gv6_z3Cu)#g7Y17|R_hT%xpc{C(r zRT}7eNkRGjh?u6-RoIHA2#j z1Emu9vgNQU71L@#|BJq6hr9Q2fUUUc+CPWn%U*E#zVXuiBTJBNF% zg1`iQKalpR*yK{juz}g|eaTCrc#`Dnqle=_IfQXa5@z5ijRxZNQu;Rn{1i!UCTMap zoszGVA%q=_x1$X(;{j* z130TBL2Wb<`1b9vLy|AUTR6Q#lAbEOuAxXz$1xzI|?|ILe~cyGmZ#RYH12z`Gtj8G-{=2z*U==s5W;INWj4hlE$hNgu|Mi?)Aj zFNyO(qL)D<`*2_eCA@$L{UL?111^9A)Te1HUzLFX{h?Be;m8XCH`4`WoVb$-_Cp&3Z#Q?-=Ud zL;lC{j!f4jeNlK_CXnX)+p}jv=KCV#aB&%+LCF(f@=?dX>z9vmuei_sbx*)vjlRg@xlcu|_@BR*gtO^GwRw8XO zU_|Ou?$rzf`5Q<(j3ev!J;+83pT!z4X<6 zqNnGkL@#}P!7`cVOCK-C(fv;NwBLz5y{=5;=?)<2=}UNrs&^aG{2oDH`96X! z#@j={5~zSY2{@yq`^5sN=;O_`o%bxxd%p27GY^LsOnOnkuK-<-!|F@&9ZA;iK>A%A z1EXyNc9m>ibrU{4dJ=ispAE3N zH7JKPKXc)|%kw!tdO6uqUd*4YUOJB1W=s4?+spc!<@xE2V?jMhKNLP)9`#P(z?da` zB4oT`W$K^f$d1X&kX;qkCc-sQ(Tb72jRSj#rF-v!xaW*lgy?Gqj$e2=BYNr&X@12eJA7O}8_2BQ z2grLJM>tdSt1pk??Yo|r^bq0G{X5Z1Pf?FUcX*JWG`|#+Et|5Z0zJ}Ggin{lugc5} z^hnqDp*IV%`8Wn)vA-?aT{`MVO7>QQUn#ojY3otr!!Oblg8Zbrg-^FXWt#%MouFS0 zcrTASn?`4wn0MfDvoS?61x-k zCU&)dxn0lWR(Zpm$p0`7ZxhlpgirgI=xI6Bnc z1#;5+g-^FfqNn~*?;X+8Gq(Gm=xO=XJ1BbTcH>v2eoN$OfB1E&-|a)ruS}iqLw*9X zKkY-#uTcGMzw&gP6a94D-Y;T@U#*JpcJR1eK|dyZx(`vWRODJ7Y3gB2#QRA6;?)q* z(O8iFKH<|o@=I7x1bU=r37>AOF_3*pww1{6?yVYCtcMxUcz>lyE#>?OXgT;Kx_PRtbeTGQn=~yN5^r$H& z&(3Q-p)t?w z=!GVHx_f`RJRMwLUV1*qY&k9uu4P^H2&(*)-5=n{swVRE@ZhKHzTJmDKS}qwA582F z0{#5~?=CHZY&nkb!jYe&>kM>B-w{6D4XM{7a`lPyUE$N+_&8*zaFj-q_nre@6rJ=U zqX5|z(V4iHcw2O|#Ypp$c-fdxHU!@daXINp!lzq0(NjOEH%0W)^-1*9H|l*}^mGw1 z9`uBO_ZSW}y@9y!!WIB7W0;HD*?~SfmZ$p`Kk)Z|iGDG8y4CrCzlK1+3H0iKch4Fj zW7;FlN$H~9M0V_dRz9(lw(pJR>5iY(epWxRlPxd3Ds?^V4~~oH6x^9j7}qG~DRTZm zm@{EMEob)Bk?5xfMRq<-^d7lxiBqUs!W6fZ9ukROx&vl6ViUbb^DGm8aXv)4dH6K0Rm? zd3Go~nqHDWJ>(L3+D^6)BzoEM)Ah;LNKX-7uYO3+2zb&<0-p5BfG5olw`R9olXBAWqkN?3Yy3z*fdh{U zC(mO}f?$>?sgsL9YoY024u@PTqlJ*xe7fgGTAfSp!BMscM{q*k3U((BuLtRiIKugk zGG^nJ_`EUmzPK?Oy_fu3IDA-={&nHi)%~DHJ=QPj}4P`)w02?ko%uxr(C+L@T8ACo#ZEdJm5*+4EWA3!CM?&-lWNRl;O(>e+BgBDGBdY8u|?U#4#x9 z8MC{jXYB5hQG3ac!+{_W#^V@(vH$tKo{67qega3f>GU|)3+SA-s z>TSS*=amT?ag;{C*b^pSfXr7Jr1^R5>sFqpI`;0B=~i~Vpf!W1#Q72NV>sLj=|MkEKE3YY$Hhm8T*n6K9~C}b z8b3Pz1`Z5C0>3eiM`YaxN2DfXoJ!@xINTRk;fKj5;BYzV0be1XUdK~!GLFIai$onI zlnjIR;Ha-CGS3toaFS34#o!rte)4>@l%%yL{bk|PwdSYLR|I;bPYa*+@Hk{A13j;y zqu0=R$E-Yiir}ZzF9mi<^Kn>(kDeK`m*i+1 zB~i0$gt-SJX{aRE4FfPU8k@k+xd-h|_E{WWHPSbPPlt?pvqY}VN&2Di>ESR9vRWLh z0&xe9lISN1uPaG7s3hSCjq?zV*UJ%T58G&Zkf9kHep_fsdp+g-=ItJY-V?J<`jB z*G=1%pc@08^lIVL<*kKmQy?e(bHbZLa6_tDqkSyysg9S)0hy`90l7MZ%{?e>UCq(tRM^R@qZmZ@!o>>AIKM3B$|$ z1oap-JL%`7>xEC3PrV-&x!NP$Bz$^e^vPONoX`= zRU+3D-BQr)0q^y2%=V4!$s*B9$0d9MA<;?4G&`2xh95`77u7oj`gFj%odX#?=J{RG zOYcKpg6uCvuJ*2j{xIO(-ZjXWmOWwKg6y9HebQ?ysF!Y|Qpn0huH}%PF1+?r(({6J z(uE-1{dfrbgCJ+WC5{&z?Kh++3$HdwYZ;oJl%YJ!m>=kq?iOCtNpBE7-6nncP!cWo z;!C1$ddZM_p&-3HD0p>fes@p=>A7n~ zkC5z1E8B+I{3}t9O;Q&vkMw37cxYh#UJ|bciC$XFXz* z=%~Zgr+s&j^tOQavi3uELgX5jGoa50{AJKL0^aj|^Q*Wd!V%0`tzh55;R}KTpw9=q zXFW6zTUI!5wL-WjWKEd*2O?@b1-LKdN>4os)=~+5q!zc#yfn#h5&s;ApOilUeKX*_ zya$j)&n11DbWZrp+yU8m9H`L8&ZSACd1{bKs1$Cix$&_KWLE+?>AS+Gr*7(96}kFF`o8e#Q^6Q)IX;5} z9m$K>2=*7!q9b76Q_=f?8|l=0U>~Sx;ENc;I0ADc!H!lDVV02b1jy8JID80A06i(- z-6_YwaIm%Jsct3V(@}AG%1Wb4D^PAF4x|#6;K1#YKm0cvTtP&uAof-v-6?#!9A;%& z-zQ}^=T;IvZKWF)eon0GC?S1X__W>y$ZiFCr2k&{^ci&U(kS`?99}NzNy4Y?O@?en zphvpUhh8USYXd#fCxuU!+YQ+U96S{f-xeLc-aH8UaKMv39`GI;+C49Fjl~7f9|^Bz z-2*)ci|p(aeHwD=vTWjA9A1Csi6oEOBt0pem5W@*FzH#so4+0$L$8;Mi#;yMSR^{>`6+u@;(F;k>H2v6 zT{p`o^0ePR*Lu2Rb`;|_e5t277W1=r4AS#*l0WN9vD>_ls+TUOn1AR)1KbDvx+|t# z*4zI_^Q#v9q9^DZq>l-op6{vm(;`=YNgokDJqILu+CQjwLiBXy?EbEYY>UXXPmumq z;WcjiK>tR-9|V0^_;epS1=(K*dTu|FtH0E#SjI7zzFfWm`QHWkg%&-ne;Me(IC9a3 z&v(9fk5=#}VuTxG38<5_vi%l)o(|R(fgg zfIW;O+f69@QyinC-2cM)YIo@~V}=g;r+*rm`+MPr4J74_hBW zw)Q7kM!Ko*LH-jW*P2#S;L|M$)H5FWt4zLH5@oPq$biPY>lpo}Mbt7qN2#@&U_}HX=P&_;kHWA$t_P zO6WC6e)X4hkMQYwC3@;V_11}Ax?Jkjid^eMdV}!kczSzg``Sk6ZWVp?hxF^hr~Nqq z*-;!EUBpwOqj^Z56JGO>z8Iu?*_8dift>Up>>T=4x9i=6{7#@JwCJUM8VuPFh&Ua;nVe^-p`6W?awC2 z4vRcpU&{Nka}@Fuf&LlLgK+JhT~<-=lIW$&JJye!wmdGy>|Tb>oj&Z+hjQ^l>p^6j?Qdg(Dwy=uv?bIdZ()b%A#;zxQa9||3o z?fsybAN1{2(bIa8-Xpw@Vba$E-s`y!viC%;WB5Gi8v*ZnA3}CpCyIMZ!ZsF7ILD}X&@Bi!VOyKM)>U@9F9YP|=W`qa<0z!mH z`X!x(5JCt6!;TSSzq2L)1x!*b6+L@KK1+8{;z*6r|zjL+3#{V-PJ}~#vv58?3U`2u$IoVD|B?&maTZU0VP*>c=DKiR zUb3I!u+RT2;+XB?@$RzsI_%xKY=?^xmEU}M7ZAtkE*@WA*}EO~@yLF=!~Q2=+3$4N z$8#_C$0GN&q;ZW)$NSI9lm9eqHenRE#vnaE=i=~FN7=vRu+M|+N+(P$voyW`EY1AX zbL(mNa%O4xd}V2P_bd&MRmO>t{IWE?dsfc8d;>pzP1{6VuetL0^2y#3$t*Fm4E|YA zXuGnX4UJ*Ru=PKfKaRga@B+uWC~0jSCzhZ}<9vBmVnz|(2C;ae;K8hcbvYFJO4$`d zz=;|8yAV=Y^t=QLd6V78;mef8;c;SSKIQyJ^iuvL1@eL|=F`Fe=` z{n+`-_X9tHH`@8n=S%#p#WNAVvm^hqpYCwJp5yjK7yMEqCS0$Di@@;rsA=&Q|cb>>T!xV9q3j1coFBL3Z@T0Ae8}$ob*?S`#(pU&j zewCJ9E54WkC(0NJ{^y#FnflAi zPzSAzf_6K@@WZM3!?d)*jmnrX`T$#Q8$nMLN|HAuj`1eQtWPiY6 z>X@IxWPc`Lswv1pycZqc$1l5&Kd&1qzxdh}brsL=m_5WJ{1_B;QTAUuobMy$?>Q*? zP*mP_S(*iv{V9j@>Lh<-9p6`z>@PT+7j5RxtBL$Q=ltcXCiCajSpJ@N{t88hHxrWT z&gMDS>__oj356nGf&F2J^TYN+c&i=Xr*l2_MU;*tF*hx2v1kpL|&(2K2fB-jSIscsgxa~*5;sWSnq0Nf$RZA`k|55~nX`ns^J z#WK)H{#lP&e^_|*6?vTU*hr@8Q7H19h-XDUI%6fzVE5&0r4Ux+ z1z2kx-IacSAtOn@FXeC(UWYDr|7gnLW?C)^hjmfP>aJC|I5v4Vg$s9x>8g1AoNO%f z@fTpNyNi1-d;Aq^^SAK~bAJZN1(K`!Ys*W3K zudvr+bLo8n3+X+mM|)G!4Ho((xdytRRRC~+Le;DB;Zp2r-LBqyTcPQQG$%)!C+ z!tzydz81r~8DFv59*c_x4>w?(Kzdv0$5+D+{rCoDDc6sWK^1cS_y=5K|8Y34k2npR z*d=(|N@9|DNNjr&i?r+>7jgT1nqi^w>Q`lMHdKbv4)62Vc4dO+qVI2l{J`P%W ztR9N@H2TrnKip)#LOA8AlIq*0AsqG8-j4}jEb1>tQQr<9hJT##Q+@jY4A(A~V4+=} zsYjt*UJ&uDU0#{7l9?2?&;&nhYF%i8y~Zvy!Md@BG{NtfgKrEQ3^_%=&sv63aE!4- z8^hGV@~Zz0gXPr?*A!kfEWNE%mpa~LYc1xdquia-A^&MX_ zJ0s?nWXt(1bZ*az5gc0{2vZF$6?DQ*XMQUTxSn6H7rCDQM&}yq^-DuIze_o$*KZi3 zsMq_WcU#l-!PUSG6wv4S5J1+210b zuj+eD)0KnJkv$yo%6;V(bo$AwLwTesqt%#}WWOs|=A*}ZkE={*p;}GD0i0A6~;sOIdYh#x4^vxm%UynvS zuLzrq9DM24=b#*35p?--j)Sj6};mx6})?~g4^aVSoXc0e2j9)fxY+#p^*l`2k-<`;b=pD%KV=PN^a`FN6NBM)BRCPsA~^lcHm zsJ)eeLo~lH$4rGG%}o6!PsND*Jr54$)Z}emUWM>+JRJ8HNNpy+L4YmE+VvcK$(S?j z+iRz*d3%BQZ|TkvR)v1Pps9^lKR5V3YcLG|+nNm1(OPw5inAQ6Db9K3O^V~%oTuF)33Zre?;G)aqdmUJA+E&{&o|m#V(DsPimyG5=ci2NTCKm9%*yk+dizVY z@<892sfrVB*>HZUQJYAv&H1WS2L_ojbyU0iSdMsUcsJsgxfyA+Brh?ay_2z`iPinO zQ<$NX-@UD-i(SYhct-GzOCeR;e*h zza)f4?)#X}6gd>_)`+LBV9b!wV{F86ZDX*&AeJ>T%Xtc~t*+Tw1G+QvG$En&r+4XG8Rq}hgy>V@h!e>A*NoCX_FjxbB>Qcz&=SFwNjXNycapAhrZ3X zoP`9A#U-7;uLnfq#BD%+ck3UlcDZR`+<*L{;Ff59mGj)+SufZ0isW)qh+0aXhEH|{*Lah4t^2| zY1NaCJYQb#fEB0imqVW|a#z%sCU4s&jx`r3Tc)@PA+;pe|4WkGL>$A`iGJXS$#lUFcs2*jkZ)lIMrtc1tdshx2>iNCY=*HvhpNAjsniUU-=L zt?2I_v=+9fOX5ggNzS4em*)!%a%$I-eDf!4|6ovy^PpbDwYW!IDEG707H6$4w~lK9 zai+7WlD%`hE5{7=o*WgklYfd4`lm^17SrJ#7 zkSgVVg(7&e5atU;qe!Y~#M?gN%YK}ZxvPf4O2P3<#H7*+QbgA!f#<{WTuDf@2U9D)>T|Di_GZ@*AGSa29!N{%~WJS8u zRirytMY?CTXvEV!sKLm-(^yaY@f7L)Qc(|7G~!i_bcbj#vg_{5q7m;UBi-{T(p`%p z-BTEh?7B}d7}<4ipy)0m-3utv{e7a}Lcz$c`}ah;V^1{JNO#9Tx-OE$-n96zO^+kuDn&&4+@KU6%@pboa{tt5F=fv?UnXb&rVX@(F=E z^eMNo`(%9CyNx#T6zReNkuDezO^1p`yg5ck@f4k4w2@~pvd`NuAa8F(Sauz?E}CGZ z6Tgc_JRP|$(m~WkBc2YP7U^JVkq(ItMs^+GEYeZU!N{&7nMFDpc{n3C9bPQbIm4pl zFi$7*6n)ib(p<(%=t84K^RifF?=?D$r${H_rUtuCnhi$wvy3j`DY^nG8u7NwFLH;+ z@>@G{sJnPNfm8ID(NjD{zlMU5T}L~LbR?rlM=6SQ7+=wdr$hBb$1KQPWxw9&<%lo) zPmcDaL)Jt(z)UpiSWovKm&H@G$!$aA-g%-wX-lVU>CCENX1*H;i=plW5{1PwPIw7!2uDr(k5i%jkZdqDPH%dXwl4C>YsKS?o#YF^P2Yl1Qg0 ziFAU|a7J!Amnaz7b;3~5h^G^UL^?4@q!WRHkzFVMh;%BCNGH{Zj)ICtypy)VFZvq} zE9@yoI+aB9AQX)3IweH3;6t7+-5=)#q$3Z4k^Qp~ zmVLdEjw=x9m;uo^C>YswoPbE%|BGh!5_F}*Z%4d_XE4L@6~}6$yLpPVJzb=2de$bMfeTcW~uiQk}*pr7d507qjyM_V|Y25@P*yVQn z8Li1H`vhN^+Z_de*InJ3{F%4fU_uI9f(LVN+|p6InqYnrNqV4t$|RHikTf^d+wa|Q?P3^=rg zfVcG)``hYTLdN3o$_!v@<<6nlv?eSqM5fiXfQ-?aM1qFh>UQkJ?mOm6I5OG%rkcRv zsh7=fj>A4T!uw!9EW(pgyDXdEoXOuzwwvEP60B9RD|oi>Px>$UsbnL_(K4Pjup~Ev ze|4UJ0jp1t`$u@bK=_F~75)OA!89YkpCWRt%Bjps?>3$zMrQfB9sC^hPVsTSkLMGR zbWZqTp20LDe;bHgt98;O42i8j9u7+2FwHg@Q&jYKO zNRQ-SKUr-*a!qnBSoQxYuvQB1HTN%rwOTmA@SR|-8mj#(yf*D<1@QvIbgypZZ$F-L z-^f#|e`lNf@4#C5TW@#^SgU^%3~RGQFwLk>El6wC?`2C*UqxL$at%54Ce!ooo zS_M0SM3ny5zzep?^7{Z-D`XSR{b8_H$(ZOm|4)L0X-58@A%3kEQN=F3m%&;&3iuD; z4H5nmSgT0E{SB~Ilu&6GUggoM5)X%Wrfmn)jQlHqTD1!KQU0`gr74=^t^8>vE6$%* zvjSFmwX!wG{O>`2wYqh^;dg_z$~E7xCet$vyqY$2w(Rli6EMr{X;_)BA z+ePmBvgS?vYNjRs+mLbnV(IIvCD}m*G1H9vzMc4gSz!z$4arL%iG8&to6qdqS^e2zXiS`ivL;gRp6P#Cwct|{3v*W;ZY>?3V5vHJ-~kjAJyXhw}I#Fmbo7fUIbof z{(Hc|G(-M1;@4{KYa}B1pATM7`ee_Q=ks8#^gd(mcY<%heJ68&0DM>E|EJ*D$d3o% zMCsdplSw%bGVT{Gs4) zM(*>#4@7tYSgX&WKR+3)mFSi9qY8g2ctL9>k3R4T5&kIn#f zR`4mLzk`#sf~1 zLO`vyb{ZZJ-fr9)V)pf`4R>0FrAl3TM}c)>9uHUlCt}$%@_!2Wt>B>FU0@xg7yMVT z>>Y*wU*LCv7g~I`$fKEN)ZbT#|0?9=%QqtVMw8QflK8dCuk=)3FMze$e}UoG!EWW> z@SEW8A+H%=^@k&P_tUQc;eB*_uznG^)%?F5tX~T>UMl{H;D;%nh8^`Ev%$eML!JwW zU%xtp_ve$r`t_lgM3tW<;76nUNd8Ymcv+M4H`wI(FC>2bnxJl3<-HQDUltY_{uEfh zE=a%S{@Ety=WE2TUlVq>^u7sx8F?#N>BD!i=$D20*1!Ja0NeiZ)G z;CbLspErZ&M)(f!oCx0wJ~RsdFgTcI=<^Sn9RE+79RI&IIsR9O|EQ>b-e_`qqxgy9 zn5h2W3Vtv6cGIuD!N*7WnFu~H!qdRVMtC+jm}cnD5yY>rn8NsR3|L<@RY_Fs?NqS7 zYI@Lc9jvdK+6|u%{s8Zzp?zq6@&0JN=Th8H1pE0yYjOqneUbll;6;)D>%hS@qrB^h zzZ#|gzri1h@Lk~3BK!dObTD0#>%SidpAxzM82mx-2y_2AIGASS|K%pf|7w%t|10r- zCwf2Ik#&@ZBfJkdm}bata+Bkq*5vr-5Pwf4NT?+_0enh?PY17!a6kCc2(JNO72zwv z4@da(;4ek^4sbBdsE_-b9RDLtj(R1^5TO3PVidZSL9#r zRj|H}JkG+O1J>7qlMG)C))%c5<-@V}N_V()$J2T&Z9Q@a1{`X?# zZzK3)tVgIwa{m?h$tb`72duAcHU7%~7#4o?^%<{R4!;xp^ltw5D7nuDYqx^lkA;r~ z>ua{744($pm;479?g6if(q9RF8~Ob??#j=(;J7@OfyeS=(G&}RJy<(H+6;dUtgn=- zhV|R%Ys44I_dNLYclh~%;{SKBzJBj9|8IaFi{#V7Lc6}69x(Uq!P+gc!0@*T!YINkzFx3)p^${bb?^=rzvBA@ z*nPoh?$?6##eUF-TfxZ@{KjDJ_kmBEkd^;&aQH4v@ofZacM2kQ={*nDSIdaR;opPf z@@)a@t5#IfxsM^Nc4z2)NcrCd9Q)r3tlbwuKMnxvtMT<@SpKJjYXkVP@JE8RJ4ox{ za{mB0j;|xa%KsVQwIj3goddp`%m;aV9IUS>A4P_W?>g}2NI$*;*4N%a-j9Q|69W}< z{(k{pR|jBr;(MFT;3bhhZ2@0Cfi)4_760}-UB0_!r5v*NBTCY=iMpF^m88FfCE@18Y3Hmc0tgoL# zeYIoJE-vL?;pc;6{a*yu*X=Y>=e`WAuS$ddeFPlK;}c+g?R}Tk|Fs2o>7jON1^vGb ztgnP;Tl^1#wF@Z7@26nx7C7D9Ujsi$f6i-%>(94<^~FC?y8Mkr7CqFTcW+Jh25Z+* z(1(M-+KnN1m3IzUU#1UOeoq2d39sM5)PJ20)))83ng2m>T;6lRS^M7n=G6+nSJoeX z1{}-ti(u_Os9Jgtfwc=PwAUxGFPT94n4L)f8L)Q!@ZaV46|i<)wHw|Hj`Q=k0vudQSzqf;*B78`1FR*rs1$i8RT_3=mZ1EonUO;|=JU;{;_u(vjFIYR_=+<5S&Iar2 z{*d2`!6OH<`o0pZ-602<|69Q4j?3hAFSsB1>HSjje*}DTl>Redef1v7^D0=oJVN_X z->6-GLBI9@>nnAdjLY97a4fHx;7~srPYwg$wKz%Ung3(J+N~JMvk3ec?IGyXQt&*$ zO!I#h_`Ik*7lGsS)`GSB4UxJ0d>;FA6n28)uYgxC%gS>HSi8hRdA#1od!N@Ue^B}3DyqZAm8_a z51{=_CqC6*2RM#z1z5Wng8V)S)~=W*Ed5)+(@9H4oBFfzKLC#7dmOCYGClZL zdwvd#M(GdXM<%}lYqwO;?>~UG>mcaAj=Ip!tl+)_SYN--CcNUC3OG1})>re1 z$>VTvoS);t+HDr(eHu6zm8S>1Yil;YYJi`P`m^)F+CdS*Uk#4qzX7aWRg$mrr?K-j z!prPLrtSl4Hv?7X+_kImJLEUCw`alH)iv7k|66d|87{b!_aDK*s6C8AP^<0zQ2E~l zT#xi?FL0c{1HsxgF`r13zuDly$p4Yx^T%cFeIfWX^hH%JeK`%R-Dsy+_&QiSEJJxN z#2%-26hQ_--`S+e(3$+S#TWxzk^qi z|5KcMYqA;q1Mo=0BWRo2wHe|ci#_xwlGncAXIj>{{3!mZ;5h!nz%P(KS#s&01lF#- z4PeQy1FYSO7g>GP!TJ_aM)98q){fLL{#^~u^r1Dm0jyog6Y#J2z5?EaJP?t?cYw89 z@EXJ41#6f7O2dzVwHsBPRU$b+V3&{o52ewWaHV0J^Ze# zh338|Si8oKGyE=aET49;c5{dRX->iYXs~t{uCwr`fwile*Lqi9%fK_q!y3bDz}giU z+&>TA3H_tWo&Mbh)~>dB=KmhBcC*bk{9Uk4UAV>Y55aMMe-8f9URnLU3f4}&Fkb%! ztP=#JAJV7M;~DFY&E|`HgLfau+W-Dw?OuAu{I`MQ^k#!6F#n%t?ni>PTYjA36T$l- zzo0*-g0)*+?M>;I!J{ZYS#ssCgSFE>`2QFcYgaZ=I{DuPUQGTb82&a`Cv?m-{G&qn=fT=t z9{P({!8(y3jQ@WFe~A7_`mg@Eb#KNO@}vGt<=X|U-HAE`TID$q9OrKaSSNnGVd)eX|()yH~)El3&S3<=qU{sV5;nZ-6(@pG-iZmA}>rN%GUZvi7z; z_-EjD7rr&w9jx7*LBEpn>W&oyedWmgoonT# z&VE+8rlS=F!bxO}-dee%yJQiTbkdbM>C~(AEG$kDZksms;OUN0D|f9-IJ>%0Szei3 z>*}1mqN7qJAB8w^DfN}R>x3m|U2W6br_2nNSxK#@ecF`HPJ%5hH~e)%NjeXIQf0;plPmjeUn|w7^5A?6%j5wEj9iGg62jzrg7C)kJk*hgOxkddu7zz72rcRsTGQ*`SB-Pp9-(UxE#{k*4>z%r&?gmnK3eIVRy{dgzmmCi^ z+3i~cgK>4V}t98FAe36CMH}L=Um$*4zjuTEjiC*-VVft;T-;an0fqLBG0>L_F~BtR^)VH_oeQj{a%H2OGvfhm7O zvJ?9s#!=x^SMFF?Bwo%A<@GH(VgyMz(R%rsD_Y2@f8F4!KB(@9$f|yGAEt;rdaYp(umBG9vd7& zri_qg#Au(^PG;(jZhDSVtqv@?OE$dK^OcRs99q!ld&TauE_~}>QPNR}p%UCV%}(Rp zQH!ZNe!OR~-7$(9WH_G>QKk|3BLwq@ELw*v#ZiYth&IkBt(M!SB-|X>&2TqBcg3xJ z$`>bh(yYv?3lxKgvTvbj?zW~s1xM+RpPcAk#7ZZ(v(&SbGbhK#dLp@w?v>VG`|%*c ze$1xBbi=Afs(NC>gzvRo2TuTmxT+M#nWO`0Cwsru5@kDX^~&O@KrwbF}NDD{<| zuZVYl3gu)wauNoIPBOo#E^YKOnAPfKQ>g4P#mW8zMR}!{FV{-CKS{?buIQ*QPX?+A0yaQ%M&3SxK1&g$v9@Vb9+E1#QPbqqH8 zT@-k*u}of7)nTGnUR_~8w9AS}d!WCsFVP?7imnMG{yxrlb=SZpJ^oR34<>iI8%~e5 zsWYZdOLS0&Y||xDW?RfGKr@m7J!Ucy7+isE+EkYpO$5kHn1HA!P~QAmteGw9SkkjB zaYg>>l4)u~b$QL|4=sMJIboDN;57NU8Fy@!~I?m*;vFwm_9Wc!y z`}I^T1eA)GYUoLo0ao&GZM?#1B=l{RjUZv9A_*Fh-K~Mm^*TKL;m=q&BH8za8nXSA ztJY!l7sNpu()2ji=>oLo9ZoJz^*mES0x+fJuh(8IC9apMtmFjQ-pce+c_5FSUX@Cj zhiZALCLuY7rXCqX%9BM>FGMQrA|0qPS?eKvZz&DPI@qZ5SlN?0_Q3LQG(G_Z&t<_F zSr%M^J_~h@D^-0hWzvyU`X#$kX(g`^{k77xS(%-=lcY}H>*h=8Yf9?5owpNuns6_g zsy;d%ok2$(y!H0VaBqiGtkPgr8jR+}A)It97gL>!W<9QsN#Dd_b9yNi6R}#Y+~+6T z;WfiuR+|QPS|n|ZI(v2RZoIHzAZrzsI?b5ZlQO4b+hjkyIz{1mD^%WV^myc9fcLC8 zPqlizk|tl_f~i!l?V-76d~(5KO9ae8&yanpXOhb)`Zv1fX3r;wKr^8mwO zDi!Mj83Hs8h1VkSJ(-pbCJ(IeIy;Ndh{H0sX&wXfHWp}8N zy+*lL(OfW-YFd(ZI##cL%Al((DMIQ#wcWj<`&p3$8Txg~-`D8ZG>ASqyhKWC!YgeW z#dN);cd{tpUax9{bZZugpWIOgT?0&7m{hpQg6kJe?Ly7EI&~rmoUZ;Aw3V{-0l6{V z@V#CpF%9q%{lzUJy|l)OMz%X)uPltu3r%dgI@O?NE3>&}V^XSV{fs zXj%tS^iuuIYFDqzRBxld+UJYc=&1CWcGSw<6$W4}YiLsHTBRETN~>y2A`9vqM65RH zULfdnS2uc@W7w=wlVYYyE@0?U4{^zBuFWuMdCW2&+LYQ(R$f2lPP+_Mc{D!^_2Q;< zwT|>1ozmHf9Et;F|A}2t2Z})juM^xy@ zrVzi?!1NiJy?usj_L|aqU2y9P%9N510dq?_I(@f@RbN49y#}UZh+9$8iS21()&B0X3zwVhXgXZW za;oa~lcwB2m-U=(o}7&Ut_M$r<Pe>mPJHfS>oAV_c4GrFRmC!5 zCVnQqLFrt5`HLWYa+=`9v(%vUQE08|geih=R_X14zJA>L8?~18IF0^>1n;lYpi|Wn zY%WU&rvL}*dh5UNr&~JbIzaxz5}6Or6EM`F=W;0 zN@-yfdp05Rjm?EBmuVc_Ms4j!9h~}a>jJo<(3OmZIBU^n3^84p1>!){aJPAeTH4~*@t{D2OEMi+K_WBp~Y5v_W1S_>4>^jXA z9n1^E>!A&2Dv{*xM@^?o2kt(WGS~1vHuc*(lI zGEL3Tz??sK>tt=U9}e7@;TJWt_N{iHo?k*oNsvpVA+%iAA7mMtHqG@EPNkf(rEStL z0J%O+vj(cpH=tD=T1(Tqdsw;+lkGI~EUQWu%52@)td1Pc^wqT{Eh@2O>bhOu9TyhB z(^#h+9MznEJ$I3-#5S}`=w2X|ftB1e$u(cjlyFQr{u@Rrn_UZ;iABsa9%=}Enhh7`2dCF>TI z-10D)>SWBLYFP#G`W-SD)}l1z+wdvjIDMpfs!xok_r6;Uf&H6FG$L!p?-o3Kqg714 zrJJ9qjQ(xDq+Wl(HU2OgBNzEu^OR_wAHN;@9J{jh)XJLVm^2RYW1=fi%4|>T#P?X1 zqtK7j`dQLR$KwXeBB7Iv)G_T3b2-c}Y`aTVqP$hA%3_wgcD-R+PFX3DELC0pqTZ;t znl>|<#<|xjdG*c0U8ELt)xAZhQ@1Sg_Csi=dJU%!_T!2)(_TdxqP5PXDTgn5{+Eu_ z7a?d1QBw;IPrX~{l6WhR`W~lpK}CG^vz#pPmbALXeU+ib)CQxMw&~1u%5KIVoaxJX zjm;VpueMGvnE}&N;oF7`X`LFS^+RFwOr<<4Kd2S-BAV>mk3>njh!$R_Sj|k;LATE^ z-yY=kI!$5vtf>61EA4X)+^v>K6w0D*SFu;#lNaa*KU*>@@ncs`ucZzJZFMr$01)Lv zveF_~`l_pHuo*&-L6&R1kah5Ti$*j$fzSavor+t2ojZs0B2i=6%#Dar1!S#77vDtf zX0f#JEdGVscGY7o%W@)}%gwBuDrbRNnnpfMfNXe=a;!B`O2^uc%e5|=v9w)!?hIg? zB05FS>SIPUZ)T|uJ=ObVHg#9Wnp? z$?;3NS(c{rzNu9v8({no#qNbX{qb0O@A4ygIZIe8b26fb_fzjMTdb#d?`X=O-N}{w EUv#Xr-2eap literal 0 HcmV?d00001 diff --git a/platform/avr-rcb/Makefile.avr-rcb b/platform/avr-rcb/Makefile.avr-rcb index 96dcd0cd3..5c14240b0 100644 --- a/platform/avr-rcb/Makefile.avr-rcb +++ b/platform/avr-rcb/Makefile.avr-rcb @@ -5,10 +5,14 @@ CONTIKI_TARGET_MAIN = ${CONTIKI_CORE}.o CONTIKI_TARGET_SOURCEFILES += rs232.c cfs-eeprom.c eeprom.c random.c \ mmem.c contiki-rcb-main.c +MODULES += core/net core/net/mac core/net/mac/sicslowmac core/net/mac/contikimac \ + core/net/mac/cxmac core/net/rime core/net/ipv4 core/net/ip \ + core/net/ipv6 core/net/rpl core/net/llsec + CONTIKIAVR=$(CONTIKI)/cpu/avr CONTIKIBOARD=. -CONTIKI_PLAT_DEFS = -DF_CPU=8000000UL -DAUTO_CRC_PADDING=2 +CONTIKI_PLAT_DEFS = -DF_CPU=8000000UL -DAUTO_CRC_PADDING=2 -DNETSTACK_CONF_WITH_RIME=1 -DNETSTACK_CONF_WITH_IPV6=1 MCU=atmega1281 AVRDUDE_PROGRAMMER=jtag2 diff --git a/platform/avr-rcb/contiki-conf.h b/platform/avr-rcb/contiki-conf.h index 2556c310b..3ecb788db 100644 --- a/platform/avr-rcb/contiki-conf.h +++ b/platform/avr-rcb/contiki-conf.h @@ -92,11 +92,24 @@ void clock_adjust_ticks(clock_time_t howmany); #define CCIF #define CLIF -//#define NETSTACK_CONF_WITH_IPV6 1 //Let makefile determine this so ipv4 hello-world will compile - #define LINKADDR_CONF_SIZE 8 -#define PACKETBUF_CONF_HDR_SIZE 0 +#define PACKETBUF_CONF_HDR_SIZE 48 /* Choose a buffersize != 0 for the messages which should be sended over the wireless interface */ +/* Uncomment this lines to activate the specific drivers */ +//#define NETSTACK_CONF_NETWORK rime_driver +//#define NETSTACK_CONF_MAC nullmac_driver +//#define NETSTACK_CONF_RDC sicslowmac_driver +//#define NETSTACK_CONF_FRAMER framer_802154 /* Framer for 802.15.4 Medium Access Control */ +#define NETSTACK_CONF_RADIO rf230_driver /* Select the wireless driver, otherwise contiki would operate with the "nulldriver" which does nothing */ + +#define RF230_CONF_AUTOACK 1 +#define CXMAC_CONF_ANNOUNCEMENTS 10 +#define NETSTACK_CONF_RDC_CHANNEL_CHECK_RATE 8 + +/* 211 bytes per queue buffer. Burst mode will need 15 for a 1280 byte MTU */ +#define QUEUEBUF_CONF_NUM 15 +/* 54 bytes per queue ref buffer */ +#define QUEUEBUF_CONF_REF_NUM 2 /* 0 for IPv6, or 1 for HC1, 2 for HC01 */ #define SICSLOWPAN_CONF_COMPRESSION_IPV6 0 #define SICSLOWPAN_CONF_COMPRESSION_HC1 1 @@ -124,18 +137,16 @@ void clock_adjust_ticks(clock_time_t howmany); #define UIP_CONF_NETIF_MAX_ADDRESSES 3 #define UIP_CONF_ND6_MAX_PREFIXES 3 #define UIP_CONF_ND6_MAX_DEFROUTERS 2 -#if NETSTACK_CONF_WITH_IPV6 //tcpip.c error on ipv4 build if UIP_CONF_ICMP6 defined -#define UIP_CONF_ICMP6 1 +#if NETSTACK_CONF_WITH_IPV6 //tcpip.c error on ipv4 build if UIP_CONF_ICMP6 defined +#define UIP_CONF_ICMP6 1 #endif #define UIP_CONF_UDP 1 #define UIP_CONF_UDP_CHECKSUMS 1 -#define UIP_CONF_TCP 0 +#define UIP_CONF_TCP 1 #define UIP_CONF_TCP_SPLIT 0 - - /* These names are deprecated, use C99 names. */ /*typedef unsigned char u8_t; typedef unsigned short u16_t; diff --git a/platform/avr-rcb/contiki-rcb-main.c b/platform/avr-rcb/contiki-rcb-main.c index 7516c27dc..ff43146b4 100644 --- a/platform/avr-rcb/contiki-rcb-main.c +++ b/platform/avr-rcb/contiki-rcb-main.c @@ -109,21 +109,22 @@ init_lowlevel(void) rs232_redirect_stdout(RS232_PORT_1); DDRE |= LED1 | LED2 | LED3; + + ctimer_init(); + } static struct etimer et; PROCESS_THREAD(rcb_leds, ev, data) { - uint8_t error; PROCESS_BEGIN(); - if((error = icmp6_new(NULL)) == 0) { while(1) { PROCESS_YIELD(); -#if NETSTACK_CONF_WITH_IPV6 +#if UIP_CONF_IPV6 if (ev == ICMP6_ECHO_REQUEST) { #else if (1) { @@ -134,7 +135,6 @@ PROCESS_THREAD(rcb_leds, ev, data) LEDOff(LED2); } } - } PROCESS_END(); } @@ -142,14 +142,10 @@ PROCESS_THREAD(rcb_leds, ev, data) int main(void) { - //calibrate_rc_osc_32k(); //CO: Had to comment this out - /* Initialize hardware */ - init_lowlevel(); - + init_lowlevel(); /* Clock */ clock_init(); - LEDOff(LED1 | LED2); /* Process subsystem */ @@ -157,17 +153,29 @@ main(void) /* Register initial processes */ procinit_init(); + + /* It is very important to do the NETSTACK_* initializations right here + * to enable the PROCESS_YIELD** functionality. + * The receive process is an single protothread which handles the + * received packets. This process needs PROCESS_YIELD_UNTIL(). + **/ + /* Start radio and radio receive process */ + NETSTACK_RADIO.init(); + /* Initialize stack protocols */ + queuebuf_init(); + NETSTACK_RDC.init(); + NETSTACK_MAC.init(); + NETSTACK_NETWORK.init(); /* Autostart processes */ autostart_start(autostart_processes); printf_P(PSTR("\n********BOOTING CONTIKI*********\n")); - printf_P(PSTR("System online.\n")); /* Main scheduler loop */ while(1) { - process_run(); + process_run(); } return 0; From 0904d985a9af7362edc21fae70e166d4180312eb Mon Sep 17 00:00:00 2001 From: Thomas Weber Date: Sat, 17 Jan 2015 19:22:09 +0100 Subject: [PATCH 04/10] added missing modules in Makefile.avr-rcb, added netstack init functions (to start the at86rf230, modified macros to increase the buffersize) --- examples/rime/example-abc.avr-rcb | Bin 260845 -> 0 bytes 1 file changed, 0 insertions(+), 0 deletions(-) delete mode 100755 examples/rime/example-abc.avr-rcb diff --git a/examples/rime/example-abc.avr-rcb b/examples/rime/example-abc.avr-rcb deleted file mode 100755 index 893a0dc51299e34bc5b9807ae0d871e982a73d1b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 260845 zcmdqKd3+RA)<0a;BqW`LPC|gB1=5{G5+I~IECOyM5D^eb0&a{;5*7sqSrx=lsp`&7 zNVLN(a{QPaFA4_A>e>{zo)9Jx`WFz&-;6yKb~Lb z(_P=Xb?YwYo_p@O=bn4B=9cM`S(atAkAa!RXm_I#c*oXW%xk!gW~@vvCYwoO5|rm& z?FC%7FH^sf!1OMCuV0QI1E)Nb5kTMGVb6y>im+as@HFK*o?%yp^}PSJ{Bnl>`*C}wGi&vVN9R9cU9x!5!o~A*W0;s>!Oz5^qC2L}xYatT zXvQ5=Z=0$G4~t>0wU2z9xt_U}ey+csNzAl-(Ash6=+}GxvL{K}EWIk7{I>HHBgO79 zh*7RS?#&Z+MIGr%IJ&vxHHj4myEk9AE2^!_-BT{%-`%-D*sabfwC&uLM#Xj7z6!?YF@39XSuPLfk-n2y5 zA9qR3&Kjp#R73Kk8Gp0PNp9&uiQ;?W)I7e7sX~~^yK~HuRrSbq z;H6k`#d==yN{f`-Ez#cxb5nETr3KPtl;YmuJbt$hU$?8ieBEx7_iS%U|611MUCcJ@ zsxNCmSYM;bd&VdUD|^Yps$NDsH}#Q(7jgFo+)2VlpiK&D0`dbjoFr<_>&+aj|IVe< z#O>r!3)h(XGd=IAP9|@<@k;AOZ-VA^wlJ9-rYrc0m&tm3rZ?w-U z7$fXLOazCk3)k%A@liXvK4t02?^5i-lPUSaQz^y5(qo>95if50f!_)22@?o1?9^~pVBInqM z#!}7`tDtpbUyu{rgnQ=3HfNsC?3@2;$5*HfFAf-Tq!*BihFa4#bG|4QfsVXDw;VM_b$wx!%T?IPAr8OJ0F2*b8J{a@+F)2E@P{ z!SA5v2+`zCHq>MsI!EuvhP}_*$IEGwUE=eG%2r$rBHa=F2R#!h=B!_b^0NNUE{Bq1 z2J)O4=?sQN`x4wy=0VL-NZoE2)Z7c#+YC7ysH{=unv68iCD~x|-f!TPS`TVAirF?U zFIu)qmC_Q4YA`c0+IP`*+V%&w%GOX?eI-WBkwzo`CAOz+ChvV?)H2yRCUj7lLiLXJ z#d|EC6kCmbs$7LS)Y^y2Re5H)HqR*Mi-VdAlrovTK0~xECD|C5Ag0*U0?u7j!gSG` zXAV^5tqw$p1M%8ct zlxiU3Zk5uMI@PubNMA4)D4h1Ca*QJ{%Bc8p=4E3tv|Y0Dv+*KaO2Ukc6wf=q`bhk?kL?=hLu5;Zhz_c z59t6)JO#NFk>IXONpM%->r5H3K4-l~j}aTfIW7&c*MUNjkqyeP$vdkT_nliRQ>5Vg zkYp;QR4GVLOkT-Ibateu6zp(!yGgbdqn}-CvrCtho_3vYq%A#btS`%VH2$2@)AISk z3}axqcY@(qa} zYZ-R;*crRoV&n|2MJ^88FZAcq3o|&L^Q`&h7)p;Ps!+fAC7HnSH5q2K*$cf4VuIU| zQXQl_=iwkL`IH*8=z`>gK$(Y6sZi+jWn7uCCdC=V22qp_q9urC-L4Lo+M_~TR?l8$ zIbOiHIaEF`tsC7EWjv3O=(tb1$O=x*X%Xj)I}MtwiPJdlAF(v1n!K;}8p_-Dqzo|k zE<_t2j+KO+7(sT?SkiitO>`s#N5^pPBA(P_!VQoKTwAoS+uf7zFXpO!rtQoXrGFBS z*CM}X48(IM;~0Ml=;4TnwyF1gvB~?W!5}hHLaxISVd`>8_LXkX@r zYd2Kxa+X!@uGm#wR#PUD0@RQ-?B$7NsqS{{q(KH`uo`%sJ`KEF$YPWOmi;8C>|NP zkgv*cNz-_P=)>4E&3Ckm#&MDW7+n?lLs|^Ikz&hn-sXH*d8^jh%sfRUXP-&mH}_`mVQmiZ_j&A}2V$VK?8i zr)ZG7UF1V@Va4V&1+IW@{W`^F}ZhWX;XT@7!j@#{SlAM(KYQyQo;HLL_DLnR2& zu9an*<`!4Q3xm^y+#p4B-)K+16t%mnXPW<>9zrdx(z5ZbI;EW(+qHbP8sxr_y`c^* zMv^4`%6KuOJ1Z!+8<*8OYk59zs$9!g+4Jzr+cEa>Re5>mt%5U!#+sV=W=lxEInb5X z-tC`Z@;(_!vXWOYZ24dC*~$OX0~s|cwrM(zLa={A~-ZO=W$ zKFwajZ-l5x=vtv!VElW#Lei$y{f(R6pgvB$sGfZyOybl*Q#Z;bVyf8CPJMN3%>LYy z!BmanBK|4<0BdM6c`eaK*I`~9VfF9mB6+_qq7E9nDxt=T3&!m@AO8Mg_6SnR5b53a z0hf9o3DDCI&}VOtkz7p?vdbS)SNTk(%j1V`*c5T5@=B%MZTPlq{+3k;z+)WV& zTD3fnT-e`3qEYQ=v?O`@jjI*%A;G;aLM_3ln7XhLOO>`%?=vhTG({u`{)mCqG1d21 zPp_U(ommq70R?GB`RvN9w2`C+d(iz03oQs@V4XR?a+)0CQL7NsLAiDzzZVyIT zcLdD2odq(fTj_`jY6`Y!p8^tDR=$- zW1N}JZ`dpBbNm~8f`4Jxfz~ONOk<~s?g||p9}5VBb0Q$%WM_zM+p;^SpezU6sqNn z@PMij(nz4zYJjb#lItEUp5d?XcK@gHL2#uAu5?H>)d$$#O-5gHR`nH^&)|31g~$Xs zY1~kZj?~wgvP3T}m2_@< zzEmvHoY#xK;fpws{7sY)pgFk9;{z9XBl?J>3DqZWiW;hflm637yv*AD&0Pl}6+}pd zpSHeAsRZ}>2oWhCM9PVhNvuU_3*?k)bJYQMj*_#?3A4MFQkQ2E z^r57G)Jt07JH{?6*fd@x-QKuNy|NP z*T0I&_%hGL$O3*QU+gJ}%=hF+I(aW@J0X(u+#G2uols<3W?yRcY=~G^ywuYSIZ|+* zLmgbGLy8!lSH6%RSu7Mpa>9*~F5#xgGGSsQ)kng;jC-P+%Y&=uMm-LC;e{x%e4IIu z2EMaaQ+!I(igMef?D)LF#D7$ar6Z5XREMm1+cK-?hRC0-i*u&4K(VK+DW$UZoW&Ba zis)kp(Z?tsjZ4+ObD8}E>q5Oa)N3QV&GxFTm)OVkp0Ihu%gKA91UUg*X7?|XU9fY= z-~|c1fKjG8*)hP;%9dlk^RhA8cNv;rfy`C%#SXhQz)O5J=UI<7p}hB>`K$EvqoCGv zf2ZhCPqSoY(n>{CBMD8THu0Jkmn3*7o+e$MX0!S6yknpzmTjV5JB`1WpOQQ~Dk0dH zwNy#-rqWXCbhYw%d|Lm!$izUDxRJdS)bIy-BtBMU z2IP}sQz9FnTJKODd*-+nT8PQ}ub7Kf9gh9%oYO0yd!)zq%*Eu5h^5iA1;3Zs=lPwL zG%6+f6lqtLd^C#FUW9ZEl&N)uH--8^h5b_?YKD`}S zZCmwzY)rK1;5~}`885dY_8T$nwo5j7hUrZ)IGOwS;jA?$$LdtrslJW&8^v|9-%H^F7Qr-|xkpdIq|kQ5ng! z_E!5szHl3)*7V4YEcKK1GAB%h^qLk){mtc>25B`la^k>QvBjS0kdC)SQm@idi1xV< z$|00&lv5+^crWdq>x)QcS%oQ(QEB(8cj^dwJ5rtzd5hFI)*BTXMIonS8Auk}sWDQS zaC_uZ#L-IiDQbEjY{8q6`c9;t;NJ}?cpGw>9%;RQLeZ9c-YM!hbzb6*xnT9VT`2Id zO^#$w)EDOx+=%U-O7myLcOgE|F&9czJ1`Nt20bx(ZE;#^nyl5v^aRA@G25V#ZV_lX zHm*K?lZ78AMA`#`1}1o>^q_>=D^y->KYFPx(Vgh7Z96mOV$`87)wYl!(JW+`udS=5 zv}s1!chsBebJLnWvL6CRscoT^Il(<0Wxp+wJH{cO##27%y)!`P+cBP$#r5Q(Z}izy zJ-g8P1m>Y@;ui8x@cVNAmYdf8;ebOCDYCu&Pq~M3IM9~p|2Df_q3S{8A|jW4tTwmp zsjpK#vTfsSN7#yEeO#=YFK+94LE7C`W%Ju0IgheffuOKxU%O3~XiROt|H6!A&N8QJ zX9^Eh<*Z9OrcmKqqQZSN1FO$f<7UGSbxxw0@(*~Hec#v_#6w(LbDK)3^|{f$h7QuQ zugPgpwC!ths)F~?K9XB1@6$8Vt15Fy!&K)cKeEz2-5BSWVV*>*6d$k;-?66X0NZeP zOOeUDFK(bnDTUr53NGMR^L6|)JjJiy;X=Lz=-DS?r|-yY`XFf8qBiSRtVNRW5_;Jm zVbzI-<|G2|4dPdUUkl>bf!|QDY6GUYRS2tA!bnPm-%CObp4EoMH+fg}A)XzElKcZb z`)fS^2G9Lh`ig7Yp!LShIk6O$#`Wm^X|P5`^&xweBy2{!ElN69OP_j-Ds^q@TODzd z@D*bILrHOvD7v2Pb)lsLA6q>{|8*)+9@O z0Q)vd@e1mcCZ8SAjaitcAF6XqqRcCx&$?qC(1O;c(qt8ni6%-G(aOdN513s-shNSk{knLq#M|9b z(eh@oce}Zb6RPm68qa2*=Y$&ITHv9;b--(ZFG2Fu1Fr-A6u1Gn5x5!H1uOtB1a<>^ zfN56b1@-|O1CK!8tTH!FAXL@fjC$TRs3v1qK*i_Nl(7yipP5mQQLhAAugUvG8e!EM zm!E#GNMl)zm15SO-_MdwB44p!-=u`Kbyl6CbgKqIw~z@NMB-M8_cGobH?&N!T9yiF z7EVaFxP%N#_SsQLfo#34qYtoCn%-e|Al@Ia>Q=KYQ>@!klOf0s`|N;Is@rye&2J+9 zP%R;U7@o3~dL(Bxd2>t&?oxAt`vG%|l3#cTBw>;&UunglC!f@@>iS@woFUbXaz`2E zfqQL8Ay(9+56PwP?z=pLG`_}ChkgAy&T~tWHm7uXZcO4lHzb+7u}02wpIN=$Zzj%J ze2gr(mS~fAdt%)&kFxg3OJ~J7c4OdkajxAScn~v-9b&ni?|KunceA`;-0ZG9F}t6f zH%07XiG#UhA!=%Xq@c-b?W<~WNshjb3edLQbSae+CMVI#+hmk%auRgs zbDYouj@+C|Z|1>ru{kxt-Gc8%#Qh9UKG&aoj?mBW-H#_{@uWj}l7@G_Md)dSMxmvm zEtHZ`-q4!h?qx}E8!S+)+HM~;JL(Xu4r-6t(Me^mKiZz#nKN8;Ne!E1wsDi(=UIFc zGf&^sIinKo`KjKotSGBp%H1TgwoR@+H{+X_S$mH#!{u3-%6T43b%1`?_l4eSy1ITf z-%Ce(k4{B-X0{SXQhzlDro*yuICY>{(7zx->Nm1A-J@n$1<{MPnfY$AU#FR9z32MA;qek$+rrk4HMyjY=9`7bQg;d~ zQxni1zGGi#iWEg*S?WPydFuQoPFS2;)t!zOzcndeI(F<_+W?Vf62(n4Cn!;DGqVHK z{v=oOJ+~&2mOs#Ojia|Xx~ZsiqCNi{g;J?BKBgH>THTg^l=^vH z!c_h@{M+oqcdf(-UY9_UVw`QL%^<34li}I~X>PYAJ&bjt1^2Hh`WJh2_JtWd?~+2= zP*hX8R3M&=@3PRde7?ZGyR*QaB2T(*D%NgorK3ciZzy%dbDrr*W5i-9|Hw5URwgPJ%q9e5{? zzqvFc{wQ>qhUAv0IC*!g@;;tWikI0*umk3M=9{Z+%~|PucD&Uy&%A}-?3rsWhRtA( z8S`||cS@knMm=~gR(s8X(o%X-0sV35(yC;C)Ov8>JTpC?hv((Eo2%SW?4h`uquk9g z)69nh$GO09g|O*PO_XpaO6vywuyU1;G+H5nzHBF4{y7(ZRodo1z9 zzU03}jf1SZElC>zQpEiOkHj{x(K8eB=geL?qv~{X8_js;k2&ZmHH)4H%x6ztYU}5G zmd&1dt+VIokS`^P$I+5^*h)(k$&V6IkE9kF8lV!XGyhv`6Yci|OW5dcaqn@5>qep8 z!MV^Du9c}3kogUN8usn@HoNE1RI6u2s@+p=wtC9UPWzU?7pxCG(&u+cjaWe-&B!QM zi}xu~;C??@663s&4%{p{>_&MDq=h=tCAbemKK~6ZTdc_bV$g^5fFk9t2zSIMGnBg- zxFbHfUAenGDZo~4skSG|mG)ZX-h|wn{Eel!dYb$-W7Yh8utE6zlryYh0lEg*%ynbd zrNPc&4BR0$Z5e7yD;~0~8MZQd>ff>+>nGU=OSj(>@Y$y-^%~^nU~gNTYVzKiFzXYa zeM%q$TAVRgUDt~79_?a9>#+bkWLrzAe_MzGopJ@}a0mPWK#joJk4S4&^D&84O4y(F z)hO3J`zWURZ1=td_crt2KdQj`g_`F*pEQD^>eCt}G~$y=d)j#kQdou*=4ypnvdK~n z65No}?#EJditYJVx06O~jrwX@TR*I2<7Oq~Lz0`hHQaS-J}$^ZPO?H0IR7;7@^{23`Y9oXG+6zzcyLz)oP&P%D5dfr+a^I&gWI4xAUJ1GB)I4txl2YdY{2 zU`+>J2CV781;AcVF%4Ir8T9Ma#_sdbzFVTk$qs0gR%whP>7hRgkC`3dQ>PND%72pe zw|~MHABHWe-jnRV^%IpgM)`Umg*x&WCG3BaIuXc0n;|R=qt5s+>KMbQa|AWksIvoD zqt0qzjXG0-i8@1ZCF&RhhxQRK9M~6{AsFRP_VF0;9&eR|CFnKaQGV^n)1CDrrv$3- z#uIz_JY%3%Yek83s?%1~$A=~%Wk21>B#NMDU`1;B%B41`y zFy9>^R$aMC|K`%TG&wRaw!U$`8hfkCy8#MkRjvlrzZy)+?q829lwZ7kgamj_zfWv6O z2^-M|Hc@|ot!Qgv2XcNEImhl}g!SeGq1jB9Lt~)VzS{4eZJPu;pQa-+{zIM7$>ylt z=VvP8#Vm{$<7KPR1exPkWKPNXuAn`-EvQTG4OH+x=#*Om>Pi(K;3|bm#$O(ARC;hN z2vk)1a7_zTRr+x~q|D^rV)NTbe=8b;xu5(AI~CFj@)P>ATI)G?sDt-E)0 z;FZ>wKh~tFLy@}SwRK_a<1qGVGL^;#PWT(Nmcy7q8w0M7H?m}%vqMT+Atj?JCv)~p zjGJanZfDk7J&&2w+N_>O&Am^X+tz2*X06XU%=T~E=xOlu^Cv47^Z|a7?^yLkeobaa zt*16gdEVDw?C07v3lz;$A8cO@y{B(#-M67up_br$F5g9#))Yxrj{mr^qosrHMt@AL zYVtmt*p0S=M~^(_V=9N_T5pzJ&1SN;8Uw>W#_F3Ht8eDL{SM(irVf>)+4gI5NphDYS)rSeZmMZBG>5d6QXa-YxtI>! z%nGfB-g8{};-iYTi)>|eJ*=S`mvSd6syT3`OW0!eLp32j-E3}hj;yu{&j7cWea^IM zm$1R?LOS`vv*tpltGcn&Sxx$f6FRK}Xneo{!~-P;unvb5oA9n5@63cwh*E;f?cj1d zTFQ!+I?QVAbh7?z`CAg8RRFm19NqXq8pV2C5-g)hobjW|w--_5l zVwQbJfbS(tF{)Iy|hf{$F# z6FBGzPD#<7pfh`-FW;KB{}e0oaAgITc@wF0(YCBxs%KwE&drrqu&7So}Qb$JY>yQ zGs#2i8vD|;F?o@3ff=I12i;PN^ph2tzQw{Fpxb!yk}{{d zC|8$1LA>_P>tR`AzOxzuuHb3_ND3eyvXr^_t+&XmM)!>w=A+GFj7oISe_PU zUluvFlPm{$ftT1xON*BhW;=_)`2n`D_KxJ$fnv19EkGqe8_kn}h5~Ie-wHHa=DV9e zq!f*T>Q>6lC<`A}wpMiCg>v85^oa1JaKFF2|1vzkUHk}^Fq*yD(VuAMHZIvHPZX`_ zZ8U?+PHsC-y^!W{>yvk#=g>FvF`KJM=FbOMdt+LoyV2QL4O`2hK>C)7Elpc$2fA%d zKKiR2m>r+K&9h~^?Kv|s28V}7G|CVH>wi?E^>H9HD0)7_IjKXRzl}Ki2xR+P|rP0%ZN{U` z`1^0|_ZOlB*F93qykZvvY{fd?IvT|!&`q^Mv*0p5(F*!IY+N~|pgke0OnSLW>01*h zf6A4{*8BW5lG;kDgyWUoYuj7dJxDATUNGlNoUj8uvSPSzc)qYAwODvGbxQnfOEGkv zeCRrQPlRWb)(b2)_?d%esQ|42@SLRc?)M2e#^0p|mDy$=<1?(70 ztQbo;p(M#A%uEVNBH{~|qsfouEnBV7 zR_xGLXfAB-seE@p>@jjH?XpmL#&w?zN=K5l z>dg2bc%rREkhcq;=pt?*T6M>ZTz8aMt?=Z4tyHr#u}bfq1MxHiKE^aw(X+RIl9I}I ziAX`~(M^ya8W)GkkP!A}Nx&N{t4q&$t;n?-yu`-|tvA0M`M2=Q?#R_uthJ$#zl!jycR) zP$!E&l_aA-QmlZlWK}iBtLiGq&qqPYWDBg=xT;j%2zwLR6_%T8WX`D(k_lA;ZTGpE7**aCQF=S+rj1Vbf}b#rHSt zR90PiSSn3!DPwPKIT&{rzKNOpO-E9D`{?fsJ3y>~H~ljB9i>a(Qa)WFP$z9p7d(Dz26n zH627u5iv!S_#l<|=)Td1qp;$m?~|hy2yLZnBU^b?t3A{H;)!o_x3&F{OZBEXzg74R ztoQdOX&xCW)uVma9-RP7<-L%nzroCUR94m%yRX0K!pwT1+&E#VTu@sqkymS8K;WO9 zU=Fx>*SOX4lT9+-k?@X$bY-O5(sp|6rKps1DrK-{s{1LY*pB3`J>AkaUn)Smq}gs7 z!L+}3;-9&%wf##e9gjJTB;1Eo?pNAGMR`rUZBvgnu_BHgakLs}t1sVx!aaG|g7sSR z5&jMPTehz)M3rY*ru~T%r*c=cbp>-a%J+y`4TvAqy5u!|-Gdj@(7tQ8^xGA&H{wtP z_AW`zwNYYHT`ODI^d|dz*qr|`TzgxPgtd(wu=ypWOIA-Q^z3qc%kZ6x?;L#R<2w)E zhwyz6-vvo7&qDCT!%6EZInQsC$aC7|c?2Pgk{V9XD)qrZ)~ni&R(wJfp#J{~=+L38 zjc;T_^k&-6oKX8fh!c9!QR4)aW*E&n)zXs>^}kno0WsC`Hz?(AtXz+oczF5O4t9Ca zx}N)z$i{t{#V!QJ3r$@)yUdf^0UD?_av>ATEHLe!=GVaVmaX+ z=tHxUHcLAt(nOw%dIRf8YK-1Fb1|>sBZbIfIUn(g5sy~a)u(?LPTo&{_m-Vr2K>V4nprJHF3)Vx=N`=9?@r3Fmtof)Je*_>D?Hj0TdCD^chb+NP83dt zM=<&4J8Q{eKz+yLeRnwdvv8o83luYXcMR6>bm%>5i%7wK*$5ePF{=H0lXpgj$-CdG zmYq_7CuvB-fnURp)T-kyc(@FMM@L8!QH>6x=l5bISBgb;nJtWPjU_=CXnATxnY18& zwT0^b0_#W1wvHx>4)8_!y1b{nxqT)K&bO3UXw(e#x}TRjChusg$-6tP;>6L8Zdf!1 zyX$1@nP-q?sb?^Bk5oLfSZdCCf+<;XpN6>Uh?{{m{7lP%6aUfH(BW#Q zK25Uem!#4Gw(L0hc~CE?W?w{4m=9`n3_QR-c#`a9#|OhVBj}kyyCkCq$9MFV5|AqM zE2)NL-9km)CAfc!>%&Q$M6yGb6y)J?aM1tvlt^BMr$pRA-bI=Z32}tB1N^5wilpaz z@O2?xOz7nj5__Q!U-qffVh(dog|>0Uwul|ZPle^KQ-)??6UY-z zw?kfF3$~?i+XpWfjg~Zm?1L>J{Qd%)u=SUG?%qRh*UC8>smL(Y~M4 z(n#WJ>B9%sR+D=?xEm>5dNp_b*-vvl(vjS@oX(sI>4n@%_yF;=8{7Uke8#4BVkK2x zDvm*k^Mi827+4^_v-Lr-W{cDQ_Ia%PLgtcB2uT2A;5T7245K^?u^LNjwuO9>#`Z{Q zlKA3Q@+t~Ze4LW*B?J415kP#~1!2kgs; z|5G2R=h-HNw~pS=YTi#8eN_6NVP~+-ppq4H_s3g*j!RW7|L61_^3i*kt#9|X(ax^{ zqQRaB57Zjv{`WB(v9HW3)b+CqYx{l6|BELtyY2ANqk6p&^Fr%rkjE zwr*rKEe_tA!4gy4p_rY%5kq5r=y~{hJ8{&LDDeq+uzjA%z!SwRepUZfKbQOgy9i_Q z?IToO`V(D`9vWCTf*V&Z|0SmZUWxFA#+z3E&@NhKNeuKwd+PaPZKXt}JMtgAJw`*z zWA-cl;#vnlLV|CwoYDLO1DUaT# z-oHKlYU!hL!PpqHvbU&N;X#!m#3yQbUuI{(Z<6c;?;y1`X)&OH;-jzcgS7hD9-R0~ zxu}xOg!c`YKZRDVN|c`bMohR&!#=kjZP_zTt=#0lPm(V|Y-iJ(s9ZHx@)EtwI$&un z2$0MPwdBvINt_xd)~&+~89TTp1|?X5Ql?MRwVZnWOs$71weD8@g+sc_H<;7VF5)tO z{F@iOU+;{cWDlP_@NV4-_h+^S z+_4;fN2-P5L3n6QhrPTGE0yFwLtY6L-}-^Ej{?+qnvM3h4eA_pjTpY;VHq^Z+mec< zX?NZ=!-`zU_aO(Ck5AY~MqIrY!rY} zlL~Z)l_Y4;+Dg*)_T6nKzwK%ZjllnZQHcWu_T#*RKi~DAGx&C#d826dw5H5qJ@FZ5 zU2uOA*#J^(y=_(hRH+(^9>!zUzzL7f63nyZ5jq)p?l{8`2M2Urn4@5DcGq<>4PLVJ>{F3)=?<-5s@usb z(cfklW1OPa$(PbWshg~~h^A5tyzDvad+dI83bcCFJ7Ej-uXmGO!h6Xi3oo-7%3hN+ z>@~rjw=JZxl_A}wNcZX#wS2#VocNJl)|4%gj{v>-6?+dtR)>Wkt!)zWk&#d0R+Iu> zTdGxd+t0|dpR+q?tw+2haayaM7mu(n-u31T)k~M!^o&@+lh=N`n1B5B+z+fLe~d(r zRXzE?4z+ii*4_iet+VO!&_ZAn;dy{l? z<*K~{`TE|0BG{1YwoMmLT#klMQXWooBF`fVyH%u|O5~goH;p)=p9X z<`Si(l_t|(VM<%$1s>YKGP&DYu6S+Gtik0GLBTzsAg!}}lCH(<+^TVmrghQyuIkpB z4Xn)Rka%YYG>+{jcejP?VAnTQNr{RLLDjfIyI(XudVa5jwhDSJ?I8Ynb}#>X;-?K* z0edGo!M!`V0=9;?llw{y!L?6Ch6L*NadX%Uk(%X!IzS~^F z+sPqXorVOg{C!P4{gLnF+o(P9ycMxtVkg3zeFIBURg<%s$zyQ3LusqpCwlakzzOsh zZFKveq1@|1nW=hq{!x<)^36ci@MAoLaa7w$v;|n(Nwf@D^P(&O*8Bt$fnD$iq%l{3 zKj0x;-570l{fx$?(ro*w-EBw76Hd2dV69NuT~r18^!v$n;e%var8UYAh(8^Re<=;p zKz_RNmEgwe8CJSim{mQNY|@XJYv5trWZr&ycN^`SPV{qUInM@oioFln{6TU`lWH|p zcN?m0>A16L26^y5m__%Rrgrr>&7BDOokZwsZlS)a&5{1hQfaLxtyitn4Le5OX|@jX zRHK@ccSjrc8dRA_N_kG&zti8*&p|uj6RQ%c`c~yhqpCBj|Bm&}9AU8k+Uiv0R1S-O zZ1s@p-r|?6Rnc5&G@`m@wUeimT4S7MfR({#@ZE^-CUXi#J*(0l6q~4~?#Utxh!u8G zRWsIlMsHQ;33|YVICeF7LDR>k0&Du18CcWDPJ=%*eQYx1U`-#RQ&iMZ za=fUvWT-{T)fNHO%_5aB!MzH#S!uqSQc0jy|Ehjf$yEcYY9PT@n0t5#{Ys0fvXW+D z|8;9I{@sB_?Cf-T;17*9`=wHFuvYr3tI$H>YlVsysZ&(tu^$yZvZ|ePCOYo`&PzTM| zb#o5#io=ePx1kB$mh>rRBQG`Wk3Tf{!`c5iqf)BDF56mVFVUj!9#b?$(rXtvpTa3A zElz82-i9?}J-vLSH>ETm=~;WMWB*zYQt4e4)M)F2SH}rW!@Ue!6YZ0s(-a5Ml`wg~jFW_C5v!#aSuBk{tuOxjXIAcGB%#t-;K$=?k(i0d%# z;e@@(F<~2qxR*0pYyh|n&bvznX)KL*tbjk~l zDLQFFJ?l-I8-?sJm)Yaod9F8~%#;7>R{k}fc0I;eXvJeKd-9sDnCMt@>|2-xgx*z4 zP0wk~hW5w3$iK_e2~E>t?~SE3n~*d(!_vMvI=e_+7g#lh?&+N=EiQIyi@nc1_$Fr3 ziANNJ_NcBJqrMOO4=i?6db3F9CT)#>%`#mm%)siPRPRwvhH4SnYg=4>M&p~9shq}y zU3F2)sTwpgTFdF`+Q4rpEvPM%$yiM%R((d zZ)x<8_BqawErP7HF3-o%TR%$H!eI5eYDM9nIO9W&KQ^X6N9#7gq#B;OP@J1{^w ziy|3kQIunK^kuA|8GTWrX3PB)w#B@wYBhI(EJ~;A!U8guGl zaen3eNZR?ITRNeL);HJ7pJ)Cfvkz8ksm6u;o&3jmb5~BZckiG>gW@n_Jkal(2=3g^ z`^(UZ4{^@kvwv$V6S^=?i{5&mf>Lormoi1)De z67<4O$lvdiU7m}eWmmGvn=yjyH5^iKA#JO09vaexWb(-+UWg8S%7({PDNZG#a|MV3 zp)=uV#n|M1DQAdCr`D+FaFU<*nfNOfYKz;2`-CL_EayZg?d|OOyr1&4zrVzp?;P*U zMz2;a?9?jC$u5=Y8Cxz;570b@>5RC;vFbk4G`ULQl7d{SYqw&R43`3zu>=1J_B2be z_OOL#W`S};auYn?Co`VTWZ4}^mfYu(4|*;ni=OkzRmV3SHF=-Up|nE%_bb+{@Xs}V zLyvP`w0tz%XLgunq#oxH&`AdGVMR%`P15-%^|^=jw6s%f%$SkB1%Ax_nYsE;>q93B zJi$&;>ai#0hpN+6``JZjR-jf_pJ+oZbtddo1hNxz9iG0lxJ9y)1N9m z*yMe0^n{Kj6IMjk%AYA`F^-ZRj9+EB9w&WN#rrKe$cpbQ_WG<56tqzWBdc$d|Jm766yTa0ZdMo^~f@^V!3*opJEh=o!xW*7NH# z);o!ETCQqcH2zdu4fP5z-zCALVm&x>Y)pdqfnvv^)dD(cW2j>Le=c_;8}~C-|EXQ- zo@lLAyOo|s)Vd@qvx#4!)-w5#(p59QgwHYB7Bw1!vw5jTY4CQ}N=%gJguyrsJT-;< zv3DwGP;F#MUf4aUDOS(ml*gR&oL{jo#=mRXR{9pKN|!xnJ>j+f>6ow|Yf2{XSMaHL zBZe${#46=P_Um)BQ<{wOB2g=cw*E?f z59;}c&*ffbPZVFo-r#ij*sm+~&!Drg?1~QiM+(Ja^XeI(b}{3kVKiN_$pADNT#d? zEhxvHl8DOmVf=pt%M{|W#K2E;@5!%pG8&cn1NM1V9pNLvS!+A|Jwig9ruIPMZW<>y zvZQr{dK39Q^-N#YStuOdKRBnVR+f~t%+B1m*afg>(|FYpw-z*`tF?`!$DLA3&o?KQu1#9b0?!`== ze~fK6bq*pv69Rv`k|b&)wH14cnS=CS9cDTTpx3yR|LBk=4jM4H_ad40&y~yS^W~0m z{kcJG?f9mH!D-6$rrW_g0*+()Q_}ICX6Y<7@=>PM0$1CoIMd1~zx9iL6q=VV$wuM` z`03%)hP=Teo5)X1J^k)7OQ%rSq4m=~D0Q@W7-uxs;*5tM*l$ZN7m+k@WOy>NkwWIc zF|h`*31jFm#QAkuwFvz98@86s#txyvz-K{^kkKPAu$;3%9M6uD9`iorrLm9pAEn9D z71|Ggb?CB!)(N~Pt!ZEXzeRp=?gjSN;6A0a&QDl6e~fymSyb^GL2LZzkpY(X6tU`C z^q)5~BzCQ&9XIc%e_`X%|@*Mlu?gNzNt)XvU=ac~mHGQE%()>|!JM z{yg#K&Cm$T2Q3>kORCHja_UW+QioxVu!Va!^G$Y=^cc>bp9ZSV>+{&)?F!xM@`~k; zSRd*>Yb1GnlC15BixaPLm>qu#hSN!D^o+E&KgWGJh&W935EU*MMDmvVgdO$^8s^p1 zBhPT+xnha>c-26EENNZ_u`vElOC9)z<12@APM)tA&f^rzUmNf0*?Y0lyISF)O!y`I zz~=LJfp_LAyz?+>Nj}SI@-&5G(!{@`ZxZ!J2x&O~uByKuyyItyxSnlF9kvoMiG@;i04h-@=+Q`h0@v~Utno=LTP;riKW(% z(xMuw@2ew8`6z8{CwX->t$tlzh31U)>{sl7rs=`}Kb@sgjXi5YO*cS2TjiG3>~YAW zPcQ<~DQ(1OCE&9Yv6or$YN!|b`Z;G3uJr#|PQ-pMEy-P$v%s`E^-s!}_dK{_)tHMq zm*s-X3I>%7$`%h}?XljK=x@$k$sUu=!(WuntvealHE5OeeD1ECm#ptw>+ZS0VQzhg%5r80x? zUx`I-bi(5Y2-|}&(o{*7hR;4;9Qf|QlC~)EMa#PuI;}K8-ahcH*cwZ-V@D=HRL;5eH9v)B7M}y(X~KSMFO=6z#jvi!|w2kVZ^W{w5H^;5US(#bi<{c+*N|V<=?p}5gc=jze z=j`Z?qK?uI3VXQE?*`Wo-Za>cQwhq8m*#W%t|^+eYt5?9bd58dD`{reIT~~Nf6@tq zgf>g$xx3Tg^6WBf_PlD?>3I$RjpSe8#t3npz`8hdT7XVv+TT?(uo-8c(h~ztW$xpq z(8Cxb79_8ZS`eV{CfYEuH{!OD?Z|(Hzd5F$=4s*F-_&dGJzBB zv$}-)t*?NKPK_a-nqQ9d74}=_z*dl5hO0+?1G_I^$-Lj1!Dp?>aH{VUCuw>*%}CW4 z5K7$^MbC@DIFzEy+Rc82qh#W!i!3iRvSo<96zSDuEbI!!s>5l~H1iB`o;JEf`)vQl zejoCU$O#)!7O?}-%9mMMRiSbn+N<@*3#^ClWIb0Z1y46GSXf&7`(SV7$nk+tSmnr} z0hdIYaG!CfT1kFeCF{tYfwdzS%Jn0&1Kh|G**S7qAXZ#Aa=gsJcP6bYM@%ovEE_6j zmt~38@@vJcD>>pgn|;>x_Vs}w^q&Am)Wo;vo{c*j*KRsy>Mu6p{$g%-TzA|>(>arM zPMp{DYnI3y~fNWXa$1XYh zh|cS9qT<+PvU7}4E)&x+u5o;=lSZS7>@r2#3=ro?4?=R4nU>2P zshr-yHlY^T=6$7~crF5Q5&}P@uwr*+1>T^uZ&i+W{AdyRAA5;4CtUDytT{#JdVR#I z`3N$9*?_cIaqZE!F0WJCnbJi1`upE{pGNvmc)wT5%t1p|(_LlV(G^~fAH3bxyRP z6j}Yr@;Wcj&R^nL&n0KOv$xn@d8v}Kk!;Efkky}UqW>RY5aT;69iiD^wC@M@#jI?5 zNvAcB?`-!j@ho0LK1IZTuN3W)Za(>^*)k zA?rbSuzM#6!=xcCiJ5k@{r)`9*B5B4n{2yvY5x5Mp4X)KgP(R?Xq{{;!u_;SDe~0& z^RDF2Ebtf(?&$iq^>t~xkN(FQ{r{wQe4lnU^=sz>jvpMlA zEEN`?W%J-@-<+0%>H_mQz;`=DR^YLxYTHdm=Put*2mbt+zjl+qV z>X;un#B}g(jQM@PiaE#(8W$+`<@@ZuG@p7(o;vo2_euPRGH-Y5l=+JmEn&*%%(Xr; zf5nROhvr*X%wPOykAUU#=gwcaa{fGP*0n3LVwi%7ldSU}FMnj|qWR3sNfWJ$=FeMU zUAlb9T)fZBoIG-r-8yeM;xA_=J-YCb`OEcZx2#0URway?i6_kB#}+Mmq$;l)2#?DpEqy$Q02c;GR#QB3yk`?+0YyRlagVM z8+!Npz(Adf2y~Y}n4AH$78ZO|h z`paxLYO~64f9%-UCk-q6+}o$T&qIA4>9e9wg`uHOs_9A}q0g#5PxV>br`d3L*vVmE z4*Po8@nLN_QqK1yPL8-HpYl>a`FW4ztsOlnPaJuERMtp_6D9fL3AIe z+o%>k9@NwD^-PM6Z(s)L_(sO6^2u%pE$O#@wUh+nD=wJe?`i@$Jk!9nWAE z=(vbkq~l^{sg6sSM|C`td0fY{m?w372gB+3PQ7gknY)-8J^XH_UdQ(^E*;NiygL33 zvtGydG8=V#AG2A<_cPDw_yOhx9hWjM>9~y9spE3ybsf)P-q!J4<~<$HV?-U#XFk&L zgUo&%Kg4{l;{{=OVHkcm4F5I^FABqtgyF?ucu5#u8itpJ;pJg?MHqfG3_lizSBBwL zVfgVdygCd&5r%&khMx?>Ple&9!|<9g%!Oe-3_HTGGYnUR;mR;v6^5(Ba7`Gl4a0R| zcx@Q255w!ia6=ew48yK4EQDcq81{r=Zy5H4;ifR`55w!jaB~>m5Qd)#!yCi!rZD_$ z7;Xu}o5S#yFuXMkZwtfEh2h_a;q77g`7r!K7~TRg!w-dFAq>A0hQA2I9bq`WS18R4UyT95ycBigeXaAx7EII5;(I9Gn(5_DyG=4#o!wn5H0} zf$QTBGt3mF%q0rGODS`Su1ipvDGq%KnVBk3@T@R=2ZPs?52X(UQ29{$PvhM=O8WOI zm>y7?6i%N)eWWLRzZ$57KcMSBlx9ru38h(scNZ&Z&Qb7_!1>Ia9%ZID^eJTKszAZ> z!ti`uccSz)`cwMP;@ujgIfS{J*=gXEceFRX2-om)z!kyx6p7l7-c@lSvsuTtFk5we zEAyO=r!d=fJe7Gt$J3Y>bv&JUNyoP{FY9;)vs1^#%&R({#k{WLJD4|tKMX7LUxQ_S zTaPoI3E}IRcl7YvnD=yiJM)2#XE36Ui$<5|o;9pB092bROiz(lHa`?DVB z1}21WWIor!Z(J z@l@uhj;Arlb$lChQpdM5?K&=Ez6G|RUh~xEXKcYbp4H=wV?y{=M%KfpGT-a?HYT9s z>C6Qk&tNXwT zdi9)6@YiJHc*N(JBZ$Q?cPUsMa~%r) zQVD-a!T(V3=L-H>!Dkh$j>`#gl#Zl?k5X`(g6~xDQ3XG);NuG3px_em2}DXm2`eOKm9Ah9lHeW%6^9+-9oWl~2(g(7RC7!2G zA(P9_Qz5X8U7%w-yIjX3*_ApT#j2Vey=!MVJ^XsMO2;>_bvnL@eNM+Wv)gr?&%U7J zN$iU{E@WTQ@nrU89pB1^Y_n6@SM~5|?CUz7!M>^EBK92}m#`n|cqY3~$FtZkbbKfK zpE|yaRXK?2csF}e51-Ap>-ab986Drp$~wNE{a(kVY(U3l>_r`yvp?u~E_+$W3)tYI z2J%fcgltNwhHHYYUC3ArRvlXnWbdI*A(Ljv*6}dIFddIDT(9FiL&)wl(lA*MA7u#H zzOFOO(8I?X9tgv8!Z1o2%y+C|k&dr3tkm&%!xK8b-T+^_VEmg5PwV(*LqiyLhvD^M zc%zOd7`E&9Cc}$5o@jVU$AyMhb$pBA107E?e5m88h66gDW;h;(+jV@K;aeR~F~~YD zG2p+J2kTN|xUA!u28KEKZGqlOoBywdQJj#nATc1WK>W~D*Y@#BU+>v*N%fR3Lq9M*A_;VT_i8zddq z7>?_>&d?r)&**rqLDq4N;ew9q3_s|&!Ejl}jfMz}18O;4h6EkE4Fh!SF{J3Y$&jjJ zzhQ`uHyE;Y{EWe_<4uOqI)2tLPRE-J6Lq}JFj>dX8H#nh)i6`XFBt9#!}o>ZG9ABY zn4{xA7#`H|ONOO7{-fbh9lva-(D6fR6uUIIQEnhQI50zd_P*7Td1lPYq{)?^MQ3D@)7Z za}}J%nt+!Ab4)rL?6QnVmBVM75+}=mDIbIX<;vtU*#@X<%16ZSWECo!2aTcsReVkM zN{CCc;$0=pnT7$ubVRxa`Aq_(e*KXW=Uzi!dWMgPuhz#UVB-ISN*rg8vQhX~O86^= z6vU&Ch_BXm60p`5gf-d{wnpFwpG-Wd+zLGQZS?4n|pIOeFIdd}S*qQfz%_s-1lMF`r9F4el z-*>EymznC7=M?1OFZ&*FT*iKkiOOp)ynpxF7v{9$(?+%`v?*Y$} z-vaEkSZw2?5U#fIr3kyNJ)Xav2b;b4wTPdR!LtCXH6H&0wM_N;d0DRd{WzZc`0*9$ zSU-+E5`KJ@I>C>xRs(+gP_@O6AFfXE<435|{P>aTbU)sp&h+C)sjYr|jXKAVH!1A? zlivc>qAu{`t?D8_zD`~2$J^AUetf;U+>dWiSNidGb+sSwP}lnLPW631-leYh<43C- z{dl*!$&dG{Tl{#Ry48>OtK0neCUu7&KSuq?j~}b<_T%4C+x+-(>Rvy7qPowIpQP^h z<6G1Ne*9$hpdUX=J?zKNR*(AebJSyg{5BsTh-;ZCap7rCGsptLpvmi z_|59~e*6~op&$Q&`q+=(s{ZK5f2jV;kKd*~_v3e}FZ}pj>PtUU2X?Xs5uX6nOPgKB<|5Szi`28y4$A6|`e*6Iy_u~(%gdcxICH?rLs=$vwri%Rd zFIBN0e_WOL@h8+^KmMdD^W#scVSfB+Rqn^1RU`fQb83_ye_oCD<1eVOetf$c@5f(M z6B(b}yRmC>Q&ZEyiw@koZBmcw?`&(X?X8?$sTvykTbg=1yL)OER;o#pCi{O)-My8Q z*YY}PCAii!HaE0&^tN<&_jUD>ck-IPw)W=cwr&%dTz}A_#S11k_IK~u-nOP`&&o;D zCru$Z86d-Hm6Ox@$uha8x0$r=#*XGmO=@jZQ&mG#=f=okXCK)*eMe$$Ib4R zpl;8pRnu!`)bTpKPBpD->=uS9!%#J@Zc1Hc6)5eU9c#-y@u}lx&+VC7RYx6D!BREF z|J6;YobLbXR9{C=+u9Cn`Se7qjpAx5wyak9ZmiQXQkf?FQ+>^zMOkLmP@tw-%&LJv zrEgVcq;5)DOGeCEAqsahWqO9@=^3VH$V;?N^{ngc?)7s!!{m0xxLwNGaP5}NOv64i zBL!$Q1?X2z|4{`VtfR(Y@I|%QZkuzTa})u@qT(0D$WFH*KF%(YVT`qvEDgpotoU&W1JWsDh^#e zX&PKRgRi-z6?{Ey$F?-k)+#zJ)K-sEo0va~g#AowoN8|C7gVM2RZawEDUutyYZn^+3LK=A6B`sahIeq>TbV+{4WBZ2gwvM%vH@0kS+Sr8-$Z{Wg^ppb+TK>s*5_%%^1d26_|t z2Cg$Q>KuhSWt{5Ez*^^kcK}&gV|iK6;xc6Qv8Z=oQJg?dt;(oVc5$NB$D-;?X@j+< z+Ond?fvhpCJ{BDSu+v3rSFdr}%86*_@DZyvjb_Uh52OtZ?JbQx>HN|8>Dpq+E;MG7 z4xbkDb5&`a+9qY>(nRK&9-kKU^C*qDk}kzkGJEkGNs~WE}Lcw#paD9-})-k z#J#L#(@c?=oNa78#(G6nR%vA5{et*b_wIyu??ym9^lt8I=`o()(AwDTxz1GE=cO~0 zK{CaF{QT=Kx3POIls7c2?dWT2=x=OjZ|h;#TP^CV*`QQ^3>A!m_tJn0k)&j7)s@TDzBkt>1+hTg^%5i`v&Zui`Z(Q3`3uggKRW%SC z32f($P8c%nEgcrFo)4;s)t)5O_AP99pQTElZtY(r<3;ZKO2n z%jR+7Oglt0G@v7@-G@1cSfh-IV6$PyJch$-9obO1Gyz58SE4sJL$}t2PPn%fJ)4Pm z9enG?-r6pkh?syhHUNg-lO)NZ7XPuvhAv-c!*WmSx3c&3_K+2U$JW!^oi!}672Cv{ zBxzXD-EWNeb{qOz{e1apdObELtX*q2_H;EiwZOsfj?dZ#J6*^auBEOG(N194N17hW z&SKbEWlVTPQJ>H3kHBWi!w$-u@dzi1-5W2EJ?V$oJ9E@9nlcz{cf_s-9H>c8gWvZ6 zNgb7JUtr15k!CUGSNda%r^N4xY&T2Cf)ey&z9DHAV=J8sENFY462D)nG{#ClDMOI2 z#AmS`WtA}&Jy?1Ug|_46z^}LrZ#|~Bvk`qw4+mWIH_dIWt)_2do^8&(y3g>Ymd1@4 z4uzIvyjZ3_$dEWVa%6q1u?x)8nEy>}&Asc4pGlFn824ihNY8;(ky~km%r9F7!t6#^ zIYn9_<#E4b+o|+U0HminMzBb3O=C}s;TWgbp9nJqkM(1Ajx@h-+S;D3-KH^J8_{w& zr$W#H1r5v7GoPO2^QJGEzMDw4z(1J!w4!k~p^ZxD6>F0zQFOGu-Pz?UGXrbs^!`Ot z4ygQ=WI3hkYiD(~b>OW!&bqk7W)?*Qa$mn3%jM@GwiDrK!I+ETdkc&EhL6|~{~^rK z`%Of|QRjfk@Wnyj3%LCda%r&@VZXf*S^&*k)T;P^ObFhV}^nSzi%Z>h0d zAVX)=gU>;nk8N%w(*CNE4@VuI=jrF;3EKN#HvH0t-`Fq!vqyr?t?YapF$rN6!lMvk zg;BO%k41Pf;86(gL&#-+ZY}2W=ld4sey8z($0J1MR1HEt4*w&Z5Y6++GLYB;aCrE>nYWt_`{6jri*kQszs9TM!ojSqOw*rOR4BR$^Fj+l_kdOFZgQpO`SJKqyfi_%kLy)BW1~OpJFX=BA1MfWs z8b)UPmYYDXtP4l=P4Vow4g4!e$;UQE1g|1wdntxem?S@jqX3s9T!3)9V2%G27n;Xo z_}HRsUp&^r4XPA3W4E~2;qXN10lFD=HqR`rH2EBUn z!WrN@r(CJitR4n;)`+;(~Z7zgrmufU#kh^`Vy{=`sQTM z?uFpH6u2D-pS65m`OX4-D>8o+!uU*6fBPatrOS`uwSZ|?q891GPW~ugTxa-KAnX@x z+Wt)akAu#7`XfT-V-ym7o&2-SxUd4@kpS;Ncr3#4sO-ZLo`%rdLIqI9ct?#o&&$_= zbB#Z&L&$b%?u2ty>|S7HL`C(Z-DLmR|J7SG^T{5xvy zWnTK<)T2%;z08JeXWjG7{NW~qtp6twvOHcwNI&O~@b3X%jBo%A_GyHR_crBuIzrE` zrPhuj(9Z#$_53-)0}=9fIsW%uXy7Xm?k8AtVHrB=A~d&vAl?Q2kBGgp59R;}@7UMy ze~plKhZdoav+4avB+~s9>Gwl9%h~%aM#NEX-|Fdq7IfCvl^{$(1w6gfw8O6v_Pb~! zD~$fpFun_X7ax@F52WOg^9bxu>TZ^tmARB4n99h>-OdJ4DH=0EqfWGcewce@8v?kSD(Y ze6*K8&wiE@e^X2#b};IdSJL7sx75n7w&5CtEI03G%Js*;@X~t_bO*$T5uSo@AuIK_A+kDu1CoHZbw*)Fn)ybA4KIh5m!gef7z2O0iEeiu_2kfa%6lf z{vGwRS3LfG!N>AF2H{+U_acOgs~8IJScIn_T!Zjkgo_bYVJka*s2?HA>wbiLA^aNQ z<7f{LH=23*Xb9B<&mY@8e+<_FPy4)|vHy&no;?GgGvB8nL=#i5Azbb;BV?K3NSC)f z{_#!e{*i2~8%K?K+oRWl?!9JyCK>FHd2!Sq-tqXBHk)?54k7b%Bf{4Z4zwU2%vY=N z|KB52fPcSMsfh@^pRsG+hn~LTwzS`qhdLc$=Dqn(@ZZ?i+lg~;x_cY22*i_gT6)y; zz}tg%8gM|5M-e%Cfukt>1H|Z4e9qLl5o-i(z3TilOf(>+xEsve<3}P&^`KbE+R)y) zslBDYrCps4%*MvH4z(95)* zILoJjr}Xe3ApTyEB)LrR7;<|vpr-cDrVYl%2Yiqx0;!LE5QqMBc0fIEgbhC)H8ptH zRX%o8BXYXc2b){k8#gz=$R_Esjif^IB*ZL$#b^(KBsx`>zQ$BuEWA{b~ zfvn#2kx~iAp{Px&v<3^T9UZ7yRzTVo5BqZ;%f|&+0`my(`vft)u|w)qEycaN(Sqex zv-3f%On5l5_8!yKxe3QUo#11oOf2?d+@q&$>u%iC(B0G1rhb8b+n}wtz2PI;v3iNe zwcXvQ+1|F!4)sYkN#r#u!Den_V|#lGL%<82wLsx-zPRPPrDt1brwu%!tvcm!puzaQn~;)~vi+l&5`=|jeTXmX=OXDAV@h|+(S3AxIncbh^`(Pw$ui+iO!}5^zb;;jc+P=c&X0YGZ4~& z{3Qd?-PfTSkws6ULzMKW?_}V*FlZ@mF!z{ug!Bh`z9I7t^BQI@t^EUEu;$u`%;{=@n(#bjKQ?2xHhc(^upI(V)GWL$(b z|Edoe=lpvnERHZ5GKSx)^`m?Yc9&qhq{00C9RH5u8rl++2PRI6W|~HEh6hQ9vdx2< zI=eQj&pk-`mPybqa!u>d68b!-3&8CjfON4{L-Ac1VeRVcS*K=s5C&ky*HeUqM;mK< z*Qr-LxUHiHPY~1ySZJ*4ZQ0mB$Ab|{9e{sFaXIb=W&uK+c67p5)%Puc5fsyaCoCib z*5?*z>%hYgH6D_bl2Zh!v`dt7jcz&^`TCt5XiU*mWgsM_br}eg+PN8+_Rh`?eO(G) z#_`plA{!ce@i=EqUoU1&{9&4njBs6VPk7itFsU1@vZ1E~Pr=X$vxtt{6=_TLIuGC3 z*US7wpg@G}@I>{OBO_+HZzg6vU%(bTA>Gi@izi(TP1yg@-LS5?TjhW&1CP4K5KjWj zVON4`%0hw-LxoiHxw{h3ANDo1sQa_Np?*&^{TckYq>MvPnPMOtsOuy6Vt?O)W?rB)l-PzdOgjtX(f}f?Sw5CUm&c;EBasH|B zN3%#f3><8a7z_Ja=$z6i&dtPh^lfbIZfQ}6W+7WPH87(Mev)#J;;ZTFLgltdquZ4) z9|gKA-!7`!GFc>Xo>1fqp^>DMbx=?~#q;EqyCUg4TQiYTqAzD*P-JQt$~dhJC9)PX zbIfMhrqu}iJBn+}ze8E@JK5n8OMfs8mXP0I&HA)5Ce3VjeVWybE z!a#3RS6f$u?NJW(5%dRxZ0+l4QkNS-Lth8`X5{G|i?HA%O!lFP<=%+#bpT*rvkz{! zU`NX)H5*fSl6(h3AHPXm0c;y~5Y`CvBp_p#!8M_^sXwKW(wpaC(r=l%`fI!#yn_k8 z!EzLDKuRi!*?k5IQB`M9n8D*N1YO64K1*L)m!x(9ipOdfv&<~zdLL`xZ9Z)01gr?@ zM9ormH|RgbZ5Xt&XP;W7hN0XDHLcsw)3;F_Z$YGL5RZ8XrfP9JEe`fr49^~*7G%$2 zide+JNHZF50WW*605)AVCUL#e(SK-JSQV^*K2{4gdKG+~Mc4{{!Gc~sC!(Cmzpl5l zqetzZ0ktc>`9qov!NYN5M4+)MEKW9usdp{Z-Y)Gli29@PGXF)duLfBJ zt;3z~#>Or+E(6usg;vwripHbrEV`%BW^###V6GZ0U`n?O8@x>i`5TOn=4rxc6nHth z2`1m%QzIMaup)xV)?FFcE({}W$H=Uq5RUkIF!A6jivW%QLV(@`DVdVTJiQ=*qkl z4bqxYn?Vp2U_LPu=j*+DH!N6xw;R&x<%vpOg5H?e(AL(h4g!IB-q_gNw2q$1$?J<* z-0kQ}8hW~$)GXUPS#N9v*sk5sgYKxU3HQ>?YQ?vZyIQ*2I-A>?)Vd6!kMz0;D&%wr zvq|2rW44N|_2#zjk_|HGlSNR8AFSyt!s8e zvk&Es<2$=iUCmU1UkHj;VcJL1_` ztYEI$EL}d$U6Mh^`y*HdhpMf+A#K7>>_%W3#Tng%(SciN-mJFmM(`__&E-Y>n`es4 zp_g-bT53iwb4$xzUum4bG$+Ictg$w?W6^VF78BM-JJHjdTgZVdk__1IW}#YODY}}j z_RYRmO3NE+3)2|$ZCog(g;`9}D=}O9OSbqZ^KRsyPwSb5j%Ijhk7wBa8Cdk5*4+LK ztgp_@j`<|>9n6gHva@5Xi)T)JcS@RkT*>na=E3*iYTSp!`o3!_FO z?x3-%$ZX+NA`SV%JWsu2c{>zo`eT}9%vSn{+xx76{TSi zvm)M-5*J@B3NuK%rqr88V*MMBAey1eJce}?AGPv~g!_TPYe8#F5q{u7=Eivh7=$P{ zSv*$8vNBM2d$4y4NbPfhG!J4wNB%DXghvRSxH)Orh($P)3eSwm!g?)2@wAs+D6)hx z2-Wc|c)Y66-q)gdZc7%GQvyRec~{b!zKvb#mR+%S`uyUqc+W7I*YOF5*X8FUb6H9H zoSkd1K!#nmX+hFgfY$K&9Zp0?Lc^C%f_-#V60#;ime2SeZ^-me;tNl17;YHg20uNc|xUr?ZMH0o+0G_mwM&YeG^tQ)m;hHdk!jp|3XCX1im-!6S=#w<~#G3wz zaT4p29UC~gz#I&#qwi#4Q2BIfpM{>%f#+#55mE_(uH8^P%nr?}IDk8YfJAc3y%4 zg15@!TQ(6{yG=I$GA|HjK1hEQ%wzB`!?`S>*om3xdADSd?1U`6$g)=S@Im`36xttQ z8BNDc9_OE!5x`gYG{!@!^H49W7?tgpL81b+(yAc0>1LU_K9^>BaJ01@8L(V@kNyAR>w99ze$ zj_ijZ-~_p<zM{o^0kyW@=i3RI4wu>V0Ru546kfhNstduFEX3V<_~1<-!o=i< zK!sNu2s=Ek13D5}{5TmY6j`z!Q8F@Xk)OgpY5$7FxHfxQ)R7*b~R^M3s7hO7tL{=mnq8LjsdJaoD=zir6 zs6jmH?lt_El!L10Q$M`{=M7CIqDC_%zI?*e__!d|1T1rV7P$s50a;hkrL-RMC4*WT z9dx^)y%>*`l&)i;1l>OZQO26)=9!_~qo>udI3=b&1&j{59pH%HjQFq&twHy0XF#d{ z1f!$Qhm>vN=OOBC3<6CyCGokAZQ{0FyQW?L8;#kv;DEk~mW{*3{Fraf8G~mDz7f&u zNC|0vX+lrd#IS%k{Ux&sprd!x*PvQcCnHOlrqbznyxhO)js6X*-A9m&`yyRD=mtJv zuN1=mAQkx3G=CK?K|+E5GLR1Ql(fKS26B|k$6|rc*=8d;6w#5W^AO^;M~FF5=XroR z#7SQloWGM~)7M3uu%n&ppdro-vBl=The#No4yi{Nb%>H4F*Ii<5P9qo!_z8(WsexH z$wdsU!t{udbdMOB^*Gp*(jP|Z@HKSw3DRlIk~l>2*&jyc>LS-5~sJyGXwTvO8fMOV4V zbeO$g^k7Vbn1?WXzvyZMIVwdLiNqGqAR~ohOW4TB$c)66l3;qj*fQpiy4gu$LPyRAJV*^Dh5yVR>qA2eg?U_j&L?b?PDLa< z6dH3rGg@Nd<4OC%K=JS^Q9xfAC=tT8oSeTJAQ{5iugdwmfeP}sLRpTZpA?-%`Qs}A z1~qR|or>c84W!0(5Hkj+q9p%M%ua#cL%_kPr+Vc3Xz&!tWhWpM+$JDOqYiUwIGC9t zOFY5HG8;woEsu1OkXWFPlEmUHVhk!5A|7?Phon%Gf%X{&Qb2m%C(%|z65TRejXHnu z=``NZ#b!&-0oHvKy5f^-5RE#sa2>2ykXiK9(@ z?Odo~O|tIdvtIxkYx2DbfaV%nh&2+QZ|Wc-H4Fo4X)0zq$Kl<~MggFTWo{DtF0Cl1NB$pS#>} zBEuajccsaFOmd&Q%H%#Sxz9bs|)6$jx$g|l}%vQHtC0;h@%AG(d0`!uakJ&cSv>i59gdBD|(7D3wkEk`7bqWf3#fdALK5<8v;hoS8C zecHVl>4s_r;FzMqdL@BgO$Yfa{^>mt&GjUGlIHAy$YOvbd<-~>^SG2gnWWH~3w0AF zlo6ezlJoQ$Aj!)&gvmb&IX3(kkQ6uk%@^txNX7J#l)B0<6-sc!a1L(ofES6@3-#Iy z^|}jnn@D^>yS(F(O&VJv5r-e$NQ;gGkh>q%-bCW*oyqUc8%mmkxSUbUW#kFp%@ z2QlwFOJ|^eoxBRcry`WEe?biaPHN1LD!4_tKvV=Yb;JM{wkaJGs0t81k@5-!M`R1K zZz#Cf5NKsT;G#p=jwX&6lF}LakYpe4&~t7IT=;%h!TG=tcvW!c)BXDNJ(o zN)A*(H;33Mz?Kw~cNO;|X+3BSG2F(ZS09F`)}dNODW0RX+eQ)|iW2t@UqyIU+>X3CXF@IqgTcsYshp-HHL7)70IhBJ1@F zkaR0f(kh>BKtC_w;p(Ku zL6e)h0M|f@pGXL%wty#;ngPqgsdtcgBvl4Sji$Z?HI}M^R8DF;%#Wx33eMcr0>l%k zUhw3lxD_awx(BlPsbRPlq#lKBg{jXVRg}5~)KrRZ*B7VuhPFYe0mzo5j=;4vbq6#I zPR+x0NGcyWDN9{~_|ViE@DEeFPu=OpMVPv;XU1geo|371N~Z3qtf~7V@PPMM>>N@W zCaF{djM1r=V1|?GLN;AhV(0ej0hOA$JqhsOQZu)|Kp>~o%@pdjl23?A-os(ODF;bNjywSRAu+dw#+U zbNiT`+s_ejFlJu$$ZXJIo^mCA7Gepxu$hB&J`h8KPQTB=j3q4bQXk7KCD_zG(rrRw z>F*$EFGLcIK_z`H=x{YTSCfIZ8U|88`n^x0-G(H(+3$iL1lEW$BZe+ETlyZr+IjwR zM1#&MT+4JjnMDtCo!|x7^L`!iQZw^r z6z&i)Gw=5Waw2Bt&15cYr=Ersg0q3USf?IEqbW_j zh6WT$y$6&|9Rm8@I>mRUumDw#+I7}y_s&N-2@b`erl<@98YAAN#jFAp-|_&Wn~ zBYMzBfJQ&M4Fxi?wMt;RRLIO$R5^WLhm8UXe`ytL_7#Lh!DGIHuylOB0=5>NYZOrU z8>`?MUqP-Y*g*xKj1^|qtW_}bgVBPb8;0z3tDH?v7aE<^7l6gg)d-ZQ$yK4~`~o^F zxMv5(r>rK-D*EO|A~hS-y!Xwj13_83AwBL7z;W}r8c*sSpxjI9Sz0JNup zFBwiiT;CRu3={djC5&l?kK*=B6QPhla<51FBaSl!T6#Pcm*-ONGNXc=Jky5Ud}D3I zNM?FjfR%A7xJUeu=`9Pe54Z~Ogl^tP9T2Do{4(It-1&&b1Zw{Z@Weg?^U>0tr?Ya- z3yg+-d==+QYC2L&sWVC5fiv0i&uGtMT61bZ;Ps%n@zcFQh2`Oq!btzDj9Zg%f z%Y%kK3~7=1E06&iy~>faejqY?oQOa_X3CW^JPSxN$bA#~5aUQh@(>^rIA~62YQnCr z!^Dvuf`ZX!fT#}__z!^hT**RwI&>+}lb7Oh3jRm0B-33XDkz3AVpTJ6UBv%5Bvx^M z7k*P%bd@^HdPVmpI9!-M-!&Y`&CnolDyQ*kjy{qqh66I>% z>DB&BbE>|Q8D8XSX7u;?XJXa*H`E++#dV40jv!L_ZsG*o%*0)q+6EO9xeXq@6yb@F zF@9JS<<3oQ6K@HF5i=sH0L}1MkppXEXAJNix1Ij5`eD4l;g} z%{U*EyJ=mG9VBoP_bFIM@XQhYvB3Na)`&$k<&yw;M*trE05kHrsNMz`L)apaWR-l9 zo)3&J3rbUcvS@^sV{2@lf*j^ZG)d;cwyJQsEVX6vT|P2e*zePWRH zG8yp1*{tXjgKX`pd>%8lFeqIvweoB#YUaD3BV_$)VXzr)wiJ@nxB#^<$a|C10FICq zsD;5_0=^xvNoe07yP5X@PrelT*nx~L2SzUno{r$}B(O7ng#>95D}!tj(*fgGsgI;Z zBSTqE&v#HwpEyz)SqGV`br)I3hQtGCI1kjK@jnFnXz4=73;RiAFJFmN^aaM1$;o54 z$UQ3$%B9P^z-6KRn3f$&)fc(U%X5UK%lw`=^e2F8a!J2fVB^D6plt^J_3bV{10kMD zo7D7caWOgF=`yE-iB;vFQp5L5&qGsQ$3M;Co3*A#|DN>dGl4heeNTGy0|1*I{SxWX zd4FYk?HdCxA&zqS2!*VtnQ~!T{89BgP(6A*o6U47m!E-sH1AX`{4QbX%Vvbww*+9K zn!$N=bO+r>>lqD@_JKaQn-7@9z0xGv;vd5YXMPXr^pR9#meFQ>M9=&U%K(~|Gyfm) zK3MD8)7cG=mx|Q7jt@rl1c8iX?Q4{oWa+gpknTbGuOfl(Az8VN#hdwOGug@dRIx;E za_{n}1FCLsG#P!admT_gHy#*Jv$&{;2STrs->fRed4DyV`PP4%LIZ>DiCFKL&CL6l z0b*DJKISA~W@Hw_?2HTt-7OTz$aTB+^ozj2i=p2%FJib zJryXm1SUJ`X*j6qs`QP>ZRWD#PLvgo-O5~6{I&&|f8+6@U26$$aauK(r$bzgz;u}f zhMS8Jdk1HokgysN=4ynKUX2KIHNsi1alda?BO;W03ja}FPQYrJ_XshEs}ary-2;4F zpU?U`+{{Qc-_Fh*gvtVj^0_A)s>EMQ#}kVgZx9}=qMT0XcFh*Yv-H?Bl6p8g4L#Z@ zCU&l+oR2i}C5_P{Uk7}F=o>4rURPKQ)C~L=Nq;s8vsAn<44C&MxD@MkOmM!|)Y*@J zrud$(cfRO31^7WSEE`z27A^*=1OEl0cVE$~+tAOw39Zz_6aT1d1YEfEb0BX6qEtci zLyYjjz)Oe>=3`2J+!LmJPE)$IrYH{zr1(~dn=E#VDYGWN+W1zMbdFTFTADDSM(N@z93VonTVEgQLG#Sx4;5p z`K!WwFo%=d8dTw#5as7Ri@pO` z50=5`4IxEXDkU&d8WYx?q_607Xt&nGq%-LfO9A~8n)(R}!cm>5K-;0rMQLPqC}UBi z%LapK5>j;LRO9NJJpci&yauLmW)#HAYXGMvgQEg;yV1(*t_s=RRc1?-YqnIm0ohXJ znk`kX*;3_j#n!Fk`n0o?(OKWe)!Q89)@g3yk}rR$_KeQ>Ut!X;|zjqybKrQVLcxWpy6fVWPr z6`SwZaW;3NY^8yn zjLv!m*QgVdMSARpV`1cQNejE-7>7^}7pAZqjw|b^@SF=MHy;mJ2?pZuN$iIEPav@v zmBSW{?Qcu~I~a1fNbiGD96<3Z5V_9&kWFx7m3_>RrwJU5|D;?V1XuDYT)<1sxz53$ z1+POi5?=fx#6v8V@RA;2SQ4~2yp#l(6zNjoWybgrM31NF?@&+4NF5&_33Fr?qZAdT zv&n?aK{T?L5sgJ!Rb)=7aK&XMFEW>fi^K0#Qr{qoNM?^N%5z@nW%q)kuop-@hZ$Z?FXFAhoChJq?|&7_oxmKH!A}6DaQ8Hi7W3nS z#r()GJLW;GAG`S=gS@GH?zK#M+1(*PbaOCw4(UrNkr6wxt{J>f{>=B(CW#0Iay251XC!JD+$a4poZK6vEYT$UbHUz zl1eIBDna+0z@O znB%Gsr6(GSnzKF8bF3aehe{0~jVp%1U;qD}=>JPSQNHG>-TJrUWV+xz0=1g0k7qX1 z+t`s5K0*AfM)*P-T&$=An+)}Af+6!@o*uXt`#YYog^A(qp>3f#5?|B>wO4;`;e-N5@`eBLmJqJ`xU(Ff9{rxc=HDBxD$KZV^3hqF1Z0~Wu6CNwvFiKaz7k(yR<=32r|U0=eq z2EfULFC%ah#me*nVApqQN*rjVcvMj-mtyZE-*3TJ!a-5r*$9bnk%)bVV)tr_@VILx z#x3+Bb}z+-8@@}(_j6620n2xc$MeGpMMyj3aFSbeWj3g0#hN8C{-i=J+McM0W5;10n(dLEsMe_d2@E$1t zqm+dA*W~>>d53Y(V!Aw?n`RH!Ln-{uZo*|cpM*bv-~7nU=a{1L57am&Wh&?+0u2CE zc~+M-!hweH$EG(MxewJk2bNYBS^KR^*~!!@`cOmk;XLDalufQj@_Sn5rr8Hcntk>*@BVKn8%+HM-o3k9Yxu zpj#gtP$PJvL(rYe=(`f#i&6HuL3b9S_>I*6!Gf7(QXz&vrZP-FKuW!#Fl`>oPs(dZ zzXEpFOYNVHjE`cj>ZR0l>mE|I_4-;Uvd=F^-^{Em&_4hw=+-gmF?xWw^;%OcPx`0o zD)cr~yS3$d@Vst6QKz$!9nO*HVRQt;&$AY=xpn68P60>-FHvSfQ|^#6Mf1pynK`&vJ$0H5TqzP0!&cC+Hbn zASAIQF@8Gu_#Fb3{~e(CUjRAmB2#fDTF4tDJ&NpFX94OI;2ibWbOrJA?wJ+DdCU1@ z|N9m6|F8-X*7+RT=W6CRiD?J(ydC+8V3szQkpetZj>;@;ZX>{2fLNOD!8NR3p?e;v zDaTK8Q1VpBviiDoVD1F{_W+4{V--R~xr8Jx08DTEZ03kw2UC*^Y zdo!S-`f~EF)YMJhe#^fNa7_4Dl7ASM6`}ZgGPj@<%#VscM>Hb4T3Rv`=g6KEgRvWJ zqWU&U_e)YthMzW}22LnUQvKAh5J|<`l|F{K>R`H2eFP=%6G_1Gqhy~ic^@S&wvzW# zQa)UPRR#eZEUZCIHPyVfo0{8wH7s)ZR0R!qooe=?WLSTPl7G}R`E6@9cVHQ_|41o* z$Rd(oL$+Fgb|Vh;{1^3{267zc2(ZH_T}h=kXe#7qU6`X;zS0}0w5kkgh|(LVbUi>A zb1&u2)s)%K%AMlNolCh}e7SQew;f5rame{ati4Ai| zj)rARY`6-tIbqon8bE~9dY=J%=Ka-ONc z7kYCY>dw$jJq?8enss)wm{}1zUmsoQ^nG)BpJKB>Ld@K`q3x-drYL3nwgM zhV|Sh29f@ji23$#qJ7ilWsmzSvI39DogmHdcoDg6-BTBhp(kc}T_A}h~< zWqQlV`lQjACQG93ZKU320xg;n{Gt=3PVl8# zC{=*>hKl|sY&2s$!H;~f9w2qDrOrbEs6nhWzQ$K_Dj-arN71mlYkR!BYnTjyop-k`tV5a9CK)ysdE_u3yk~NwV{HhnF5~y7x zRYR#7Fy^yJ^mG=MaRzCJod;b`MGW>*LsaS@Bwch+mXJcunZW1D`<21IXAqa(Wv_OK5FYJ#mA%`i2oF$+ZK98t0zR0OZ07hn@C-*uOYbbiy<-kZX(Zd;K zGLNB5qDMR|CFCT=5<2o$p#>n^gjhrqmf8pATEvT3thmKs)8NPM*gW0=KGlOY2r9n1 z8~|Fw24?0l0IHaUhLtL^@3bOCg~*1!P!s0564e}pj&zVbI=hgDe+=0Y>8UTQr;=6g(riC@gbTY<$A)Ah&-w&@@)KPu;`-Gjg;nLo#{-GP$PYqHp`vutaq zZ5!E!=);&C~!2vat zOYwTOi)I}X#5IK+Mu)wKi~iYQps@k$A`B~q7ehQtp_&#(wW(pa!?I({=jb^zI}7e2 z8$A_F_RN^9p{5=-eCsJQ<4E`?$IIA>V9>E`KIDYM&U6SxBJRBF5JvlED7T(56=N!Q z;XmiQxP+Daeb)EF^9VSP;u;S-Pa()9PvScu2Jj3R@w|7C7bh@Je+3}PGpPde_4A0s zPgUMD6nP}DH|FGMRowO(*hl&%5(}Kba@_R5{bgEODAUqHnU)sHw6svBrG+vrEtF|# zp-f8)Wm;M&)6znjmKMsiv{0s{g)%KIlxb<9OiK%8T3RU6(n6V*7Rt1=P^P7YGA%8X zX=$NMOABRMS}4=fLYbBp%Cxjlrlo~4EiE+D(jt8pvadhT?1^=e9*%<1pGd*ru4FBt zgONk?qwf{#*XdZ_k=Hvj3De<6gL*qbqR)j!;!><@seJ2hylmjYyOqffBX5a*iHU8? zO6*+lmC9Qj+c^4pH(14b1gb^fFM8Q1?!Z5d<8!pZ!hb*c7aGM|srYeCCVsS%jPHYS zh-?{toIc%*!{|=lj}pV)2ZG}Kd?pda`Vs2=lPsYS$yHGj?uG8* z&ye=#>Co^L)s@R!g0Ji{m*nJEH;tN4S&g@f-9$cU34BY~6ydEjatGCn&>v853~R37 z;sO~}j76It$<6ViBZLNld3HW>tCwi<@B^OYE77A_M8ENKMkE(~O^^E0Egn9x`Du}R5U{Wkcx^q zLYg17{1sX%%4u1#W}F}18dXZhL7J~}cyA$vo!3DOJM11t=mpH*Fs*3|zeGw+3&CwP z4HHczzNX<>n)cAgFu5^WaztrT#k zYAVld1@S8oPw8#wKyMJ&%jXj#87O{wkM~Rrhatylr0udwg zv!1@yYV09o*y{mcg6=X(EQP!3IiCU%62vufH!*et`R17+bP4#fvM?^g|y%w0LGk|M6&!Kg*K0!S9a5~367Z8J{ z7Ij`C!{_5UXdYFIEa$Q}4m5588Vm`ZsvKBjASahE@&}rp6AsRc{27oA_`v&*Xw4cO6C%9ZMfOSPOL>I{r zT_i(vkqpsAGDH{25M3lgbde0vMKVMe$q-#6Lv)c0(M2*u7s(J^BtvwO4ADh0L>I{r zT_i(vkqpsAGDH{25M3lgbde0vMKVMe$q-#6Lv)c0(M2*u7s(J^WQORd!`#HrMM=M+ zm$9gGCrbqCF_rM;+4AnEK_quGoZNA>?MDIlK z8of6dz3mt3jTh={y*C)W3#{I&n2|fO_3|Sn#@;(bZ##L1>eV#i8Le5$+iXd5$Qy?0 zc*c|&7zd+fpOX@M8c^9%F!tl$*!9A0c9DJ{{&Dnz$c4Y(e*92NG1tx5GuHR--r-)JJ|-BqmWMeg57IhBt-bCSjODm7fd3sQkhKqK&3E z7^^O)3id01@l?R zF#U_s@&95-l#V21o^5&yPx6f0xd3Gp(Kq25J&~7s@1kN{uTHo%ya|-8*Y0#i(HrJ) zfx)faLm(%Ii$d<~Spo%exL)DTHMCG1rvSL~H$x}ZgyK9i!dhm zXb9Hs0Y5SO+3OfZDaP5Q24``N63JuxdbbMBW*uNmfufHgoX1HAmi#6li17hoGC2P( z1ZF4v0xuu3NVZRAbp-eG^1&k-f=l>tfV<*ZIl<*NAFQ04@0iUqw%9FtR%h8yY$XZh+v-n$p zSSO~~bWM?Gtyl^+VcJ~}GEuKtbTM5AuXYem+`ATk6jPZ@HSzH<0f` z%UdMNV#_q)k1ei*D@dV%%b*75B5~!j1Z`7=PWpkt@b-Q%w^-?w4-*q~FLwu2DVs}{ zXqJ@9ji9vE+r#`8&byw>7G93_^T}*5{g1Wqi~~XC7@ELpmWMkZ_6Oty$)FQ>?jE2* z9FVDM3z6Ba^eg`5{yA9r~YxL7(lKj10N$A22wzx4;m6JHzd*CW9Tb<`c|JVR$F>E zu=1=SIQc3><8pRuzWxcBMNd8SBzRF_>GFd$W8fnZwuazzMp>`Y$JEvclrF1{ZY-6o(~yGG@B`0v&g%0p@FJO>nX-)`4pl z5T-_`$5#u&?`&%g_avm6d9gctTg2plky9#GUXSS@(Uee$}fSUqJnf$ zC71=+NLz{`;dwmyB!yeta6MzHn76n$A~uMZuqtuFvRoWqcrxI)+!>cTk>&aX%s)bM z=NOVZ$B^7PhUCsMBzKM>xpNH3onuJu97A&F7?L~3klZQ}DLKn5_b?fY8gSbO#7K)AF5_WT zYa+P+hk8$j-tx0J!kG@L{7}Z@`fk+Oh!vJ`&qxLKi%`-MHF zpHb;&;4?};qtYQ`K|L9+sXwEG&IdRm0m$D!vZf_hr(%8vAG?+Ij z@EQbSr~KSt*Z?MQ9-y1`uZRk|H@O39{2yTEKUSTkHA|uTEp}fzYU~IMW3UAZKf~-l zb3(8V)iQJ*nEu6t;2$0d>IP9C&Iuc#+%YfMe(N_2&L%zUn+3$krq|H4dlBWP*H8^7 z0q6_W?%yonvX%E*F5c3dj_i2fEMS}+sEiwPF^MDSf3tvCbfNZ}1=mOd|N5H+&x0?= znGf0czEt4d9uR25e?l%aJn;xF;HBmqXBlYTHw&&t+2DUiNJhT- z6IsHYfMle5MwXHQ+e7?jfypJm96-H!3(y4wqWj6Du}ekww}p`8>!;DhW|0pEt{;xt zi5|prdEH!03!|$fdL)FS2Qw;1S#a7?z>A9&yl~M^KuyNh^Aslb;;{`TR#~HB?X5r> zA;v@~Pvvv5s^5dLhDi;vjn%-o)vzblMWQ5irN@QuD7=Dp6^R|sDP59%RO|#sS^dKL zOOF)_$r?M6!fqd<=a5)DfLoJq5E;~m?@q>oHV%tJj-2L|zXoqZ<)(t8+&?GY=H%bMYk|F3oTe4>uFT6edSagr($HRr(ebPvrt8> z8&CUUi!C@R`UaC(V!=J4bf4H#3yzNdi5XaC!765 z_GD+a*P3KA+h@UoSZ{B;iuGH?MMg0`2`Gwjoaaj9ClEV5cs3PFs%Hd?O(|lH8g4N+ zwm5V%y17_?ZxbvGanX`R%&nxcT+VVfMBZyr$iUC%-7m< ztD{vcCkdkNPllTw49X&3Mko=5K#O~Q2gkTs^l zL(PqARqmmtqY5O~p&D`zGtLl7ZbN#xhZ_j3|6UT07=VsEjc6cu%~#a77R8@ypJ%A# z69Umhbp9$YhVmVnyLK@~QRz%`*D=bjHILdlRtV%If4c{uPUaGhCB0|v(X`g7C`xh; zm)m2^C_|2zYL4?fuI`^uUAetPu@QeHV(hWU>5zy68jn5<;ktcaAJQ0jSwpmiw!hVx!aJl!~sn(I4=RdvbwcS-az`PXc|WTIU)~JZl%Mw?59u=YJ(MN$urITa#y`?YnI4G0k@wQ%C}dtn z{_2AfpU}QQ2A>{E)YoXvVOQgy zv*w|?gly)R9U|K;VHws_hv5*y9!5SIi-!*~CGV?8I;nBE!@w$d%Q2ViME~C1fktC(^R7F$Xa}%KsLGky2n^F9LQf(yYLi zhriYVFo$*x$3yzRk!`uLhh;NLZ)bku*$-p*DfB%=m)S9KDFjACSwfCxG1|sJTb`!6 z2dQq9Udh75BQs-?$rNt}_c#JY1Om^|jEsk69NP47Icdu778rv)XCvg4pebNLE;-na z!fT^ooS3cgXt0V-?4B;E+z)sRce9G7w+SH&wUS_b0yK})*HasAMt4n$pNTiB7m4ag zU^l84iRx8=$8$=j_t(L+e?OB65T!a4!1+2_ErxlF%fWTU2x1Zyz#&y!!OcifR>gPe9 z%y%_=H180m$n)bKc}iXh59}8Ytc34v65p#tYsWiUaJs68AUX9>+Ig<{nyNO?6}Aed zrRaAFk`3!9UMyWRn@;ng+Oc;Q(GYYln?=jAJ3D>)e^A0=^GoGmk}NJ0g2} z)Y>b6$;YT)djlei5UD#K5p0lzqUkYYWiR~ShE!(V4ZNC8umk@O5yf*T)r>FE;_8u- zq?Y5%X3w`Aa5Mh(c5u)&9;0jQ%&u>q>|&bjPKW;6h~&Y#36|^kvsvqW*7qH@@H5DY zUXuR#o~Z|>gCAYyLU50icJ>}U$ZP;YcHFr)NY z)cc8}&nd^f_y}8nP&x)5pVyx{Z1D5Ix#w-5e~o`u!QbWBr=YtJN{+pja@lLOfenSo zS6XrU3OxvCM9+X5#-a}Ia{zrGE*l#m(|150AosD+GJ6NaTb|gFL7Jv@KF6=Rq(98; zwH;>08iNyn0x$0vVkZV!lHldsaBT)}IrR<%4>r6vlJ_jRxq##((mwUl91iQE71Az1 zdjJ+h=Xnr6^%CvV&m&J-&-n^d$GrDB+5)%?#^im#J72Bma+jPwfI!fljRL7axixdJ z4Z2eS&fUQ}?&64p9Z5j^&lJ!6aupsmm zQVu~kv&47ThipMT*N> zB89KK$kKv){A8eVI1afdFp8O#VdY6I!fKZm+!HA*OAGEfB+AD=%y%_;ycfQ!xf$48 zS))1#F>2aB3XlGv93<=z7)i80Qbk4}U*N$eW|og^QEV{;W>^up~&zBx(k zC?SSq67PRVGm>Pf`d}2>q0a{&Hbq0WFiHhxjj9OWw8H3%|CC&4cK2xeVimofCk|lVuopM)0TTjgstT-I>9! zAjrbwG7L^kpi4Lv$t0IyaCU zIR+e-}VO)P1CZEeNuD=YEaq=HUNf z?@hq8D6Y2QuI^{wpV=8^V1{AeSA(*MfCz%f7DY6MO$0N^ajVLj0s4p=jt}!Mt zXj~D|DDFm$pBiJ-5L`ltaTkrRary82RMpen;|!q5oBzGO>zfOnQ>W_GsZ*y;ZKtZc z*_v)srIQyojCXFd9~n!j=S7!GB2+A{2Jg1mok%Rw4dazu4F$SkyppS-KsSt6ay4ir zZjN!F;cl637|;3^Vp=AP#tX7W+Y)2{gd3(nH;iY|8YjQF0rB1|vDYXf-JRa6#%bZ` za$YkiJcVyTZxQd)ST8t^H0@_$+RrU*;ZUmh3xm3aqdNfASL56!&&l5hBXPZaoF8S7 zeX&=Ndvh=^U24&wDD`ZSurhuQY1h-bsw{2cdKOdd)BXyyHD1SfH_VR(x|qC9acxab zfi5Pmvq9a0Tp{QZKTq@$DbU5_bv0e1=lJ zo`!RwKo^tO%cPbS=wkAE8_pF@ek61e@%qQ-QbsPCy#b~A{2_>{!d5aKXhp`yYf$@y zH#EMnRJ~#0>J7KFh2d1x{sxT{8pvscL1P$w*qO`pZCG(DB__WHrfI&{F=5?G={FUy zl3S_F{ToPXQ{w$d-Z-91ZhAkG*87pB-zB+Gj}uD9u%z{Vq*>FX^?s!3{YYBxN1EP` z__vWNF0P47qY1nFtPk`^+54oLAAI;;Nr-b}M%h0Welm!) zjt^A~-vx55<3ok^72r}cn@LU9HB0tqOqolD-Bi8-><{}g`-j0&D{8v1YecRvyQ7Sv zgk5*FKb3r@X2c2&HI?eF{+mUt)hUb}T}r8A$|X95u@g*64|Nw)u270G&zRV5>Klx` z8yj?NvFsmpLAF!n>;wD3;o?KplnAJ2co<{Lu+#}f+fTVFcnoVi^N#MQYZGiVF zvhGczuz%_a#R~^w7=K}X!{~o)8G@mi=A&Cc>cLiyF7hggCyho<~p4h>>D_M5O*rJ{Y zAIrQqMlbJ<@GB@>of18m;h&ObQFI@M*OBL2(eo)=oIH0Vo+F?CV!RXI$#Q=Nn^7}j zgL@%-KjSZsz0dH`^Xeju$i#>(S_m7ilz|-J{6GGTO>OI zU|f59r$^ZnkdyZP%|K4>=e^ZYd5y5@M;C@?T^Rl8m`LvAM5t8%6>N?(I8Fm;hZ59T zG*2KKnX=X({fXS9E7X52kxd*}lo|l%(4!=ZdQ;0+I|F~rv?rMs^}dOS{zoz5?G2iE z0k$pbz4IjX5Tp)Rf-R%^Q0Je<{3lR^k97XYh!{l8s-KHu@x}W#h@p1XuSAxpx4a!< zs9_^e)H|9q)UrBPgvWDVuX2Sw3Q??mRJcGcfSx%hS`W2j-Zi{inzF`Im-W%aoz zIqIE28fsR3A&QN9e?-habQh_F;wA{$en%oIT*>MQF^d`V9)(_dFfAhL%>(ODGYkqe zL)2Ts41uivOy0{V}7&msoyJxtclon1;Emqx5U z=|Hf5H(*7yY!-;j`{(srh0LSZk*y$ePh|@kk4)r#hF2abF^Pi#BJVJ~svCp)e$6S2 zXz6}UWEoPtQR|tm@7M4hA>wC=EPN2Laa`eu9H&F@vqTm>he#(e2|o-auf$K@Nz4X# z-Qsa4LJpDRuR%y6Ai|LMyM-Yb&;=7Y{Sz|am5s;+ zOpNpf9^IePieDu@=-Y^;UnRcJ5W`7cco&mb@<+CVFgEl=q*%WSKBKw~sO$pq8C8Z+ zy!ch{8P(SX)qWLxMzzXis`yp#8C5=ng$%{*Yx@lzx?yL09I!f#33X zn8o^4h|qUZDL)jvav^>|OU`BPNBB)0TR<%nhaCtyy{9?+2OxondQUT5V|cmXwTMn6 zC#)nPGbInH6KVBj{p3U@Kz2B1l85SAa`!~ODcLurCjXpSxo=8M5%Vx*q^4>t0&?0> zsx6wQF1?-(Vc9mNf5^tCNZdB1uMlIyw_SPMo&J$dE2d|51hzqAWwKF9|M+;xSDu~) zj_IFhtUCQ;VpnR)woc>~qF3ESL1n|1zHx1-@JH(oX8T&yX`YpMe#Zwbx#up;ahOd zRU5_Ks}UTkZ;>zzjwRNpLrL+NB1f(uw&6dQ20sIRn-9=->M;gC!_2Q=H}DuKE3vw1 z^VbeYeS9|1u0&~|>Tw$SB%-$w{Vj=4A$mXRpnm%+u=+2cwZA9&Bsu>ED1>3<%3Ma& zs9#W|9jXT=YKn8?U@a1HUCIuY@{dv}J6K8{lx^4%)L)7AB1(^pM#mGKA*t+f3y8i- zbSKdt14ZfVb#2ueM%RZ=fxnHS>zXK5y@+Mc%j?y58Huk3Q8@cY{rv=ZJ5fnzMQQIw z5$!}LokiELvB-MG=g_egmMGRUv#+k}lcnqfB)dWy$de>rs??`QCh1s1PF$C^RLHxL zyv(J|)vNa@B6e#eVuq_srhb_E+Oaz$aX9EF>oT4q`DL0MvGT_n%`A~$Cge*r`A&+2 zhc;G}wB@$1~Ldx*+D_-E$W7w^Ggnq%uE6&UWUYbHD5y(Liue~Pf@pMQCZj&Q{a9e)uL_@QQMPY zTeY6#vy~#*qlWZ!lFDiMY|-aIAZ=vPTR?Ch{^QiU14~^(9;oDT)aK&~t9>II0L3G& z%Y0la`90E?xf~VRii-hnN#}$mf6VYIGSqFL7XB#A{A%6U0gd2}4AYxOK> z^`~LG37>bsr{mn^Sodn&@aeSBQ9WE{j-%Z>9cQt&z&h_w-a563sp3oODbxo7hk8C> z4c<|Tr8>iO$wO1NMC2RZ|vi=iW^gWUKak7U0<$b}@s6*!i*;F4$v+e^N zdnUenLoLBp7==tR1$9w3kjuHQV$97ZwyS!cLS3vF(H*^sP1a3a#=6|;Do!7aU1L(Z zsvKD#sTeVBgeLFKrG2DP4q7AS)fc&r)$ltiWP%6Pu>wVPT zOe{UlK$+<`UquWk`%~5-oP+Tu=NQWzMzu%1!^>AYJr^={_-u)oj))%I#jEkssZ@_o zh)mE(&o!*xWOiKaI+(W&t2T{eO4OUdh8>nOrT0p?>)u@ z>J|0gMT~qm(sd5$4uwmfQ*U<;?-G`x5`&Jz#NN^_A-px9zU&JsWMU3(4P+Uyo2|hQ znAvO%5|IOb1~kS!!AORjICYmPPff|jBD(M&h$55d zaXN&JMRXD03#fB2B>eI?CPZYl#m&YddOUf`#v;0uiD@~ojeeI`P%<0}qo+Lt3T`Z9 zBN08l7gA&+5xsy!W>KDX(~~d^V1|&#hH~=QP);5j%E@CxIeBa-Cyx!~axUoIEy^lk1&1d2A>rj}7JIv7wwiHk6achH~=Q zP);5j%E@CxIeBa-Cyx!~4kk`LeUfq@pGNLywY z#`nmjWg`)v`~<=oZY1LN04Bo&n0Vj-rUUTwK49K9aauJ72YF9w;&cYlNEyz_iPa>+ zsd0uEeG_L~E5(*&xPVWbNkih(j%6-y4@Q9&dE}~3bu_K!n%t99V%;!DNAn>lJ{K7JmysA zy;7e0LwUBA^5|2YN14Z*>YN)vokFKN8GWjwIYcs{Q=LrcR3{TU)ye2n9i6Y3(Wg3O zk4-{GpX#g^Y+gp6>f8WKHVJVmmTA%vNap~}=T+dXc5bT8j)cd z*~%)R%;gIc+E68B?gtD`MX*XeNtw=2EW`e>8eL=9qX>&L7_@7xGPgIdHmpvSI*M7( zg_{@|A$7q>J`)sL1Lrd9QCy>7AI554pxE{8AIsSQ3N7kI6!mP8oM&qnlk!HL_YCI! zv8afJtTY9^fV^5oKNj(^@X)NTB&)7KQSOdT$a|w!FY>K5d82Ww&l@TKQcZj*3%XTt zh#}!chIn*|_*N3H2XR}`&Fw76>ZVFP!m{qx3Ne*r9al5^pCyfQa)G4fyocEzWzps8 zQ>JfKCYzYjBg`kSn%qidybHqWCQ2x10t=@)c?JA~By0eRmVFYzHk*YbUonDj0#+KpzyNOdM6BKtG8kuUvMbo_?KCZt2+~HqGH>->7uJ!5 zse`1js8>KvXA<1?u5&PsPR!cq+^*rZ5x?*~Y$=HTryfhR3nJ?)^%ib~n&>O_j?*Ez zlXfp6odivVq2zh^fvc-**lAjPijmSZZQjQ`8+2Kh?pE)2&}(e)a*5zYu$;OS zzj@dup6_6&gd)cu5t}-Y3)a}s2aqUl4T%k7kj_F`S`42rm<#eN5K77OJ+bM005;8O zKX$}yUC9EU?}^Qz6j+I*`Fu~zKHrnR1H)`A{CrRBTaS^SJl_+WJ(B$Rd{1nS=HR3s z6O20G#k5ok#$6vf_A(O6bM*5Wq?~-dC${)Ug1O@2j4ff+C$btKJl_*r!HCr3qLEX6kFYN3R0dfRuY<={>T;=(8%ZvUq}XWRDhl67TbFkf+-=DISm??{mW zE|>&mRV2qBN_%EU0PHd3-~NRAnS!A4(wIY`&qNpszh z9II{JI3hozC$y(?% z>t5}0+2O(_@TELzM^&{YGEZ?vST+iZz&PFqgK2dS)K1MKO;3!@)xvBZJKQv)@{qx? zL{|Z=p|ENJiLVnBFIi^CdjbgF!8M+eu><%tQIGUE7?8kMt}-m z9PNfYXNB^tHF?fro)IK#tLBpRT1ClkFw)nl`W}eeMWXcDp1n@(q)~>-2mn+3n1+2}~MZ zK+3E5UxSKnV(fNd-S`^>?H`pguEIK zz*$~5R{v3=^NHR_^fC6sH-S1$st@^|rW6@+-OAEl2h)zChSQ|LZ=l&ay+Fd%ietmK zfjgaNXo(baoojM-jw5GbVO~^3b5s#iM+&Xr{;pJ0p-xQK~*(?HPkuhd1K@u)=9>(BD;X2d`a z+4!n3CHj^`9F8pbsxTt1?9SCe&-=(}kS=N`q7xjlzY8iW%S0hK9x+kxQ!;voj0WkV zy0fSY8L_V}>ReLn>dJ_%$P)7yp^K7Q{sED~SvfspCcgHjuFE@APsQ}!S zFE@APHCp2o7dIdtcje=-M?K+eqwGh&wPc`8edPq&u**_U^`(8rNuVpZY>tBL9V*0=7rXH_=BFnw_A66x zr7V3n>P4WclvVgmI;~hq8DlqVtgMpW!q~4hR$fU@UhEcDrF1_#cITNuE5wr*+e`rJGSjG#8&Ln3k?`w zFc_(o%P|nd7iw|9x;Ms&_}Qb7QgFxNw@S^$Z}ln^R!u3Z1*MUIfDsk*G518`=dL3& zT4Ve?2B~}Q5#wuF3O8v5cOgO*4}m-u|Mj*K`7I2RoJ}9URjb0Sq;5E9K&yjGHeDKCSU$vVJ+FS6y4r|d<6l?qzXHKVm z6N@-ik=M;*HNOV+CyF;)-qg;>PfS7~<~WTa>1;|`i9C%Wt)K&db`Xi@QsUU+b!y2v z)$|U^+5`r#;=h{PF^#0jpzP=%umpj2HnCF`Vt#-a{B>4*mKm;87R{H1u%7#}cqw2x z3%H-8oz+GXKCMW!9N${jjolNaP}uXrrPRl< ztVFY%C(ZI0@^r^$Xsx0lzDEvS)HQ6(b>VDdOty8Bt&-*SP*;-wPn4o2o-*3#X|AVo zy1GXRoguhIY}ONNQC4t0QIo>jW)+D3FIrES=SJy%Gd?>8F;wa00v8oB*p_^DY$D^c zW1=~h%*b-&XhdYqazuw^IkE)NdO5;S?mS?2IkMVDU^$XE%Mrd$w}6)!oDW!Lmm{?> zlJf9!q$0c=sR%De%H{dT(sHCCyc{VHFGniE%aMv^%aJm>#P}wps93=rrO}eJG}RYN<%-yINlcIFtI@)xnLWJ`DY57EY5>zH z31fdC!&FN0Y~w_mUIjs7e`dF*$iiVe6Yv6Q&EXZxL6(|FBFRkL9@)fRtw2nw6M~u8 z`*)G!o8OZwOcTki zf;{3Hji1G!b~Yx)Br%@}pG3&nm>8@1XlG+$oW``XF>$cw+91xx1n=`fnnrOpCMIai zyniV%nMbDf3B(gwmOiW2RL0F|SGeX0M4eVNPatYc*C%OLxaJ8&Emi3W#0v1YPayJT zX}T=C!qqO-@CrA1&uZo|XVrfy<+(p3UwDO^eBd_bF=y2)FvYg`1fu4kFSLG@ClGDz z^;tF9+Y7D9m(Lc=K7n{CFulU1Vwq-FxU4)=?FyF_yUyaJClGlIt1qWkE{74T0j}cA zytuyl%++QAyC zo!nKugjC-~CN0EpbreXd9A>Fjk57g`P71Ul&~GTu3X!M1`W8tJQi?1)Pt$D2gKdL+ z6Z;^=;r~X^Hp===$2EM1)7qpCf!o-*T1O0}tMg#tdiMx9+Km}BmRx!w-l!9oZ+ybp znLPFwpb5Mqk9<>!pOSA9kM=BX` zRR-pQ*9a=od}Qc;54tjW6gn@~^dd;hXX6M*qiSBoKgir*bHQZ?aeQBk9BL2OLSDR(D+h~(@9I{q{^jC_Ss=|7v>@ZDAr40m*GCyU^ zvanO+EM%+@$(Ko)_`o*a=(tR+L%hARuhq<$3q zB_H)VN70s6YEiz$tk;CIeuk{9!!=UfYc%06Nr*RFvZ9BX%63JU&}D3rGVUX3H7hy* zDK$=;_mIiGOpy+cYCKOCFHw9TCldL)M%wlua)a=QdiP^aZ##}LKbQCDN4@Fjo?%{f z0bWsWQp0MeF2FGAO+<{d9)h?|mwie-b~r31*kfNnk6nKri1f5&0; z{<|o++59?Xg5QsZ5w-36_pDs?#w$-cuWS$S+fx2kjgtC?re>@ zFT+_`K3Ub#uQ3lU1Hb6CfLigjCI>h~HFQn*Vpkby^8$Ao(Ve$$HY zl2Jnxd64)%lc5nBV1^;g@GdfB@V>!e!-3RHlzi)%?@+}OnJ0CG*7-`4?@;DD3Zz+? z{tgu*nYT_IyH1hQWOBL`$+>IDX9_Fyf@b_PM$DH7zsPj7VSJlmJfDoa9*Po$@q99# z1Qa9lt<1SZasrn>njx#Pgt<-u84Evwggx`$(41mHifzJfB^en7u;Qq3R} zzOGgOv0A?{Ocs#IP))Xo`Id#qo&{O;l8%fY3BtLW@J#Y!ztn%^_XP;sh%Q#9Ve)ot zPAg&==7sJ_R4K2Kzzrs9eY(;7Fc9h7tJ&N(cddGqC9M$~B<<`{OTEd2^A#iRGm$?B zlWO^Z^!YT#RghKM>U?VAI$&B)f<6JXEp&JRtG^3~Q};zbaO_9(hp~%(62>wA~E4n67n?& zi9;45NJ5@0Cnhohvg3{Di9>ZQ`3V&A&A*yMp5%~v7_Sf~hcd{lWSSgCB8+gznjEgP zregD)9v(unB9=H)})Ofbv86XuIv;7&QwmC0n2e495 zSRoCb*@54av`AswKwyJd7?&++`J`If{Q*)l1^nb^fjW{KD{uS6T#Qd5>@r-rwa$$% zM1(7J_z2>2`~jCIne{OQlevkcFHpGLp{xc3ik;}>CRItsIEcx+sK`jN=SH#^{vhoW zq@~?Dq-8EaF#nqY5GOzBU_=xsPJYT7;o}r2PJZe&g5kpe>PUog^21gGlUaz!w?qDP z{xD{W&2jRFQ&25YemV~jbk8FDq9QNNAt=%Lh)ug2@tbMHPksX>QbS)tn8u*!eI`pW z20h);O!KQ@8?G7~fd?`scZtVYLSEKZ2Qz_$6};VC4x|lj*U3MJe1p`)3z!dEtwUK2 zvfqSwHHlrqZAurOg%lQRTbM;`vhKn?3Kx^oV7&^{0%?5;8;MQPl>K7z0;#E5t^rPM z9=4&bR-7ibnyKPth|zX7$wPZG+b#Ik1tHjJ*r;b5Suf2|9oT12R z%nbCxOB$T9a5dF0AiFcjZs-)axn&+YgKahqX#1Zq*ICpq4geZNRcBK}jk4rChaG1X z=o+z>T*Kmi4aDito|507SkC#Ttl9_2X{#ds3lsMnDIbltm396QS=+Ij>W7W8Q-NMU z{XD?b2BMdh(7{BHCGi97Jd=PrwbCXxE3$vi6!8&@5Ls`203>rj*YqYDP2EDJe@C*t zF#z}+#Vo6i)SB53Goj8lhuLmoHc`bfOnC%oBPQW_9EJW&9%+{<>Zq2H)ia80o-nK~ zM}~Inf9e_5BR&o2t0d!HL#GeW`r{YiZpL#&4;OUSDUSL*(bIuCozw>;-wvtuADgbT z>cz;Nie&bW30=^d(`dg@@8RmzPJ8xP^%VOHCV6kQ<6OzMbdJFgZ6^6;1H+U2yO`vM zuLIG)b&@wTJD5&|xO@i_miRwHP@X9o$&h|z>eTXS3p*&5Cn2E6&-hIA^osoXv`JHY?8AtT<=0;+)Njb2cl^*{nEcv*Mi1igPwA z&e^OuXS3p*&5Cn2E6&-hIA^osoXv`JHY?8AtT<=0;+)Njb2cl^*{nEcv*Mi1YUgZD zW|qhf^=s54&zU7snpq0GiV*QB~V@bvP7=Z9V((>-f*kwGxTd94`;0I(~-UStDcb zq2%)$qB8Ib`UjwGKsuSCz5~Rm>OK%0_gCaL;V8{liqh?VBKhrD@B8%{*sx!s} zvRFVCzav?l8ceb!Y9tVr^b=FsWJ9`yq<J zl#03DB-s(iWu%GANE4TlCN3jQTt=F>j5Ki>Y2q@{#AT$3%SaQKktQx9O0N&6PJ-DE+b7`Mw+;cG;uxB z=rydj16MN9C>&|L(nup~SkE76(lXLS`rQLkd4WNsKZEE-8ICj&JJMu0(nJRGAt`J< zG8}0lrI9A9J_9C|WziL#1f%G=k(Bg*eeZje@ztC))QLg5iD_ zUK~q4%vKOHBwdk_h%J_4NnfNWi0y)Knc6|i9i?co*BPrVS06HRiST6PGbZ7?$WZ~y zKY@Jnhk;AEiVgzlIZ`CaGT+i&^-hptXi?{obSg+I9%t!;|h9T0u(9q<)4f)~>Iq;d4kx z%Qc6g4Bm|zX5<#c&=-N)W09F{)e#eaS`+mq1*Us-n2%@hf zwDVhIZd^ORNroPn(9ZAWg2iwSaUw$EJdB+441!6QNvTBifWHH#(bCb84C&LUe?Wv3T)&S+V{V+A^wVx@@~QEZ2pQ>Y zEniIDM@T++cEar1M#`JX@}`1TXPwEc-vL_t9OBOg`OWyRX1Ap)c^%^0{snJr73+#NqR|Vf(IY{uYk46BSw~a^ zxsaN@o2Yo7FB}P;uL0G{zL;n&MJxl~zAXIWdWvUWlCLGi9vXtIXIm^5K`6uyBgh;I zBKEkL!BHS?Crz_d^vk?ScL7o=KS!`V-3-jy|Jz!Fk0GV)3PfHD%C@H?@LL2L)*?{P z6?e?@YH+_@WZa2-G2~Nciwa+34yy22&r$&V zHL3fF7PL+%_tsgu*$#|bofJeTqabZZv4Vo48GHwL4;d56q&*%0-cu@9E_#S9TBnX* zr+P_sDx`kBsgM0;I?jAdICxUH5k>S_jd0(MNN9_tN#DB=SjWJF2>b(qevgB`Gi3TJ zYTY-2pYNa(b$T7KE@J!U5OX175H}ZboAJNzbI5xq;`;s>fdovd(@BW_1(W`cnD&V2 z`w;>MA;7=>93Do3+cNye7b#?X_&yS4e7IGpn$&4Do*_!wol5FFTPtdFNl`;YQNIPf zR@4wt)Ca(|VundxqZldldGLvWj~b>6O``#%&?W@fIybX*M(NugEO5RlG?}oiGfD~_ z0(xz+qoj~Iz&p!w?m%gs?~`AX8c8dfpxLni{>d_<#BPGHySBt`g0Oo@XTOrtO#O29 z@0GGomF(V3E6r5NJ_I;QuVCpj6kcqvE3(>DhPdv2GbH<*QuY~&)Ae_N>t1)1*wH%R z7;-+x?*J}$qH8$%C^hgT$~Pd1EKwO$242e&2kD4^Rnj2f~n#5eK{jU}AIW*W&* z(KFCo+ zIYu+b1I$sb{T&oSsfrh2H)_suBx3*Y0t~gXTXPyQIM?~h$dVOi28XS1FbuBmPf*2w zaWCgaAA}%oA9lZ(OS6oti}9P7&mSmD|FE2?K$5O|$U}gsDHZ(9;#Z}1mV-lTKEht= zApAyBx8pa8%L*S-+r1QZv!BsVMlN{vT8Qi~&BQPvp7vfW&6|Y{ggD3eR%oXOQE`q5 zIu$4mz@d59`QE6KUWPW)%UI}Tldl)=eq?f6xT*Q|?wI)Rwz?L4^}DTfs2{(#cq>Je zS5YyPJPkkQ-Bu4+94>0U@Y6f~48)jsTQSkT+iDlW<~cbAVZoXqHA{`YN#QJ_ubH#wkvQzTdOQkHxNLR&3#PTsl9ZUKuih|ga z0gAW7_*^yk2888gLhXAy64~#n*VE8f3N!Yh`KBMe3(^{NoRwtrz1i3p(e0vEjYPiR z0Iii*VGHV^`@scYj}-tu`b`relj{wmNgnpLa(X(Bm#W}Sck1tBnd8XHY^v%n_G9MC z!EBpP7&CqxX!?+UJ5@pcM=0`LeT?qx_k(xMiY169>*b)+B?@)vX}P^W#2cn>8iXMtPi=|a~=urJD_bmg|hY*9o|H| zH?wN|S>O$#D~(r!Q{y9~?<4emh(A~fysu&<4hP;Kb8$b#l1?WM?`nUM<4#~5_`UHJ zNNSLC@tKONpg$wQX*vZd9cA!4LS2Ul_k52g@B_%%PF=@BFITL_8>T9JS$y3I63?=~ z02AFdms2aIZEB>L%h|47kWV+2CYZfW%~_{9NmH#qnOSt>p|sWHdbMInZyc)?w={~@ zl9}*ombM>~bo*S*(q;qIMM>&frb=^3>McOoT(XOO5r}RsL2JPu59d+mZ-`D=VATR$ zXmt#1p}#lSNk$@}7ESjiTW&3oHqsJrvHW|9N<+R)bO%vsM?q7VyS2#+IuU3aX|;D) zm3d5+MthfSb{f#8i_jLjg=(dRu1BO9VY@&k8DSGnr}L<%p@R_<^>%oxopK7?S)AaD z)g*+meA>=x_u`~eGg6lKfG>F+z(;AmFrj|^%a-!cvE-^ zk8#LKE3IPg(_oU|`Yh7tH3Vbs-|(AJU*I?U2!B@CAEZ4dX?#{G`+_AvbQBSZ56hv% z{kdmw_p1Pl=;(71?JdDi^gy!1c;~f4N_6a{h{LTGkI&~s$CDifZI4gp(*xB#vm~PE!LdpCJWT#wt+RL@g72yE=W{htNaXUKc z^l(I?`c>E+?3W&r9tZ72Ond@$DOX-1oo0$cN-XPm2~9Q_I*I4O04fDhPKcr;N`i>I zqT|X*lrnh?iVu3FrIbu(hlOP;RhP`Ta%Rj$B_>~DCuJ54J7gleq81J_6f-hgd2bX? zp~$DG%G8Jyqbs7ND!Hg^B~T+e(W-$y3a-^@Xs8UTtUGwetz~&yZx#4dS;!q_^5XV{7P35e?Tb*4y!^tRD8Jpw?Ty z^>z<8Ny0V>E?n!jO=-hO>n6@vePpdZa+MAn3uYd+uR^Km#geeO4O&uJ*pkY9%RyU{ zTV*M`SWXp7LEA=@Uz3`yU|EOZuT5ttr`Fm~+j2y+DeL?Ow@Rcj)rXbcE^JKgt@Skc z?M&-w47Q1GIZVvKNLvPG0za!Zs*a5iu#<^J=h3m95n;_3cGM-9YwrxJwf6Qa-k|%s zUuE?4pwPA^iJ)QHnowKGu#l-Boo~Q+(NM4|nE(piW&N5_l^7d>{W4##`bl4(o<0Ko zs}Obim6NPkDk|C~;}=zCazl-zDmU^Ow89ic6gtJbFicM>@zCRi9Tz}TJxB~LFk0y5 zY2!=1w;jE;_ON{^ru$Mn+*1;!FPKp=S+acTI2>~WLvT9WaatdFZAV5w^v832Kv)lF zWw!g48=0XnjI+V+RcX6dv%V)%)s%!318ZZ1G*zgzV*=mw>(tqvS#Nu0yXFSk-Zu%X zp-d{8ENF?1;fBXssjObu(oI0wnM}iX>}^u5>7f7lQI;*%T>*Q6HFfce_ARb!z(A$) z-K>dr52nDi6Sl>kK5x9(G-U)TVrJ?cG)rHYK6dQET>v&mzm|JIe?PaMcYq)1S9xHN zfu&`y9u&|Ps=bddX&t)YH_}*D;0^UA7LBTV#J+w6Rlc8}OQ#RKF{g^PcW(JZH7_3WNKq=6e}D(p5k-2dPc9) z#mQV4G+;*9)eX9lZqrs)H^Gt4GwU0yJtN_fEoz#=3=1(sh{fpt&~me+sZyh`QkYVL z6HXD@xAQI=xUsP19Av~_)igZvrTx$okQyEqkE^pBSF>4wVM$^)wYg+0KSaJL7 zSl@7KgVDiJ$*#Ri##a|81SVeZquMyFwaN&^p45u9`UYxk6(;z)F;BKkC>x0q3 z_HNf3Lz9)FeNZ$@g~foWUdbjJ{rYMn=-@}t1VW4NuWS>#2S$TEIu;(&?DS&BwEyge zxui*18|gWU3u3eK32mT#6KUS1!wnnUKBHlG*RlO(X|-$H^>%3hhJE<OIn0_VWy8DQf&;DiML55vgSl-F zVcjx*gE4=$CAwCptl$Xb**QL9hNsd3p~W>uupGY!u{L&|fN>{-R6(gz0Xj(Cd}kkS zFYfFEvrVqVG|&mDio9XUjs~U$lg*&U59nc1_7`K|;0q7Gpx+g(w5{qcU=Kkx27RHn zZ^g`xS5{hayW{<8gL=3%xIQy_rjN75^_f_{S)G~XoS9Di`iHs+*JxJH&|hOu)yncT?pa^{FkC>)e1FnH~=61no_o(5q}_Eb%Irh{c@kde>+q zV~szn7r43LIzd|qcVpH*LTcl}KcF_d3j=3bVMy0OwOOB%p|iU(qL6;iYs}V^WW6Hd z2IA0RcR_ZAjvqdWwz^bw3cESq5UpNL^BCr9_GeBSqz_$1r8-UgtM;or$qMD{*VJqd%>+=<>%Y^%XHr)R?92nJeXw3(Wu!SKZV1zu8G1_C!@}Ln`SR<@7 zBZM@TUd-b|0=7-Km9z#?YPX?TrrYje8_+rxig{0}#A^9eEB#92LAt>PEKW;CV%pQ$f-_qF!#$`?8Pv!0X z{_XdufC~mAv)y?IdW?+4zKPieryO=nHb%w`H>EXb@B|c621a7C?CP`hg$a$u9Id-+ z8{*lE8NUA2Q5wDPKfXH-_xR@bB&>n>r*_srU{yhPbJ(to#mU~L+E|0Se=$Y`+uV-8rja=U2ihE`d;XfiZ&#k~St^Xv_H@ti zpi?i9+Ofo=G|kZ1g6LXCNP&9^^9&%D9iumO|nDj*2CV z!QuICUpwF7>`qU7IJ46ZG@RD;Lxy4X0L-mVgNC-{a5>; zwfdMH7US0Hu_g~%eRx$K?n=!k&v57F>dDv@r-Gaw!~I)J(sgWaMAMLnt94^XM9b{{ zwA^f>{OJMrlsLUrp&v$Rcx;exKa`r`l4P4;hpg?4>BQd>$?C{BPW^V4{%42ppe@+m zXUyE5tQ(YLd7u+mXSzuZj_IgcQ`mSgmTQ~oq#cr-{rSTR(7MyqH(bBZx8CQ1y~^j^ zBN(mOpa=TBi1rsgXAf3@+V@Y`!){vkzS8W$StaCbWd!@8u@n|F&^L3UgM3t5ec(iW zZ@YmuZaTrjCsWqwV_~BYoI_xfYWs?R$o;>s8w5vKZO?%tY&pR8CkET-Y_#B(6r)>U zwaCFP)KPrf3NC=qK%vb-kU6`H-86z57C`n$ibXew$s>Pb(2`_&(p@2V?F8AIpD!4r zG2JV8z5wDbEtzxIQeOS+v`FyGXD;EMp|FK+_EZZ7sGK zHYPiCm)bfocx!72oP@IRI~r?|42<~t8(G_+|JET%&%M6C+%_-H4%ocbX9n?Q77iL< znRU2?4nXN7vkh7GBj9dG`%~U&NVPNtbnPNAU}x|*2rOQWQ|K)))cMv24=s|+$S@iF3IlS zX}9)l-L#YSmlH*K?X1zjsBA&jFhd)&V5`}Sm!>NOO$;o9i$s{Mzeuz@446~%UO++j z@^oDuNFF@023kAI=rj%&F8xV?qjzWG)pXohwp0^zX@v1VvzJBcl5Gc4Nu zMp=owm|=N%TT&4=!+_(~Un5lo@1%a|u?b@`_K1)u28UYR)9H2+I}X_%TFDqUNrM;d zX?x+JDKyXdGGWCP99XRFnbJeIJgni`c@8wX;X^YW8{Q6Zv|vbL9Rmja`etE$gL^#C zD>ao20?iGe3Yn9zbl*UqqEh{ zaG(e6eluokp0;Zc+gHNY(ENfySbqgQ9u$M;E@gILD%TAXI=Qxkw%;#8R%LsI9e<$5 zz>E@jMu&YSury*?bLzV^Y!LAFSQ4CIY zl&Q2n)hatAw(@8l>8RQkru*iX0Rn9W#d7wyT>xsgeiqZP_E?rEi0u)R2+5soASdR0 zJ9Sy(u`|A5<{t-yYzYti`1WA4!fEM8`bJ{6H%6YXZxvRZ*c$s!D(=ZwSL%woH)Vp; zeAf1+T&Z8mdM|HAX*2oR?Xf}qJ&LS2?BPDD$B9!Ow=`R0HgDkAh{>&Phy$(C`Yj(> zC7SumsMGh+g&L^!t+1b6&vyTY_6X|qKXcK~JQpi|D*XtO^;89I&>E(H#SuEPhAC|n z?hef#eh&0Hbh9rl*!HZszyun!*}$_qcv#$y8*w{s_zwyP$H(0~9vT?NgO7*8+cnAxz;yC ze8+)#7R`Tp+Kw0b&X|h|R-E8dJ9-5hdNRHxzn0b=Z+sd}!x;QgJ7`esx`Cb?oJCd=d!*1rx zI=cBC0$(*469 zWua2~2egE9J$r&H7RjAxvvZ?XdS}?|JTuap!8>Aiol(E%`WsLahiCD=(#1tHRI ztDJ@*Gq)ju*2r5WnMM59uTpTp`|A4-qbTWX|MN>9ZD19)6IJ@Y0_;6#B6~S%`lf6b zJOR~)7Cg3>utn^#q-l}nF0z)J5hJQt;@%wynGvl62PqcWq(>|36f+}S3obDlJ5qN$ zb^d!sreN1d+Wuf)G@{*{8QXDT!!i~HRTI=Gz6}-ZrhK#{G{h9mi1K?3`YztMjGn7L z`Y?rGg|MS~_wIv#bCxVSrqAMIR^ZY6Alhfyg5!?~#w|Z}xj~mMnzdryl4ZyDnRU{# zUd!gpcKV#Sd|97G3ugB@cFr6|_8HiFQ18CvR{F=-1&ik_I&m)EyLHSdvyNZ7=$Kx! zX3y!3SCipwW-I0{SiGY5e7s`PnX_c^iUr3l=mj_rF?hw)qB*^mpS)njocTcUj<2Q5 zmdrV3`Er9W7a~_c+GRR;Odz~;)|}&xS%EJpBMEh8o%+m~KWp*gV-^KsD2JruRY837 z6cvTgB;=S3co<+c;0!><&jzdlTxQ^zfNg;DWp|9f9*}gm7@RLktOWkBf&9<|@uyAt z3xHYRF9Oy9ZU@v7Fm$kqVU7r-BaBf>rSR$`G%O4q;eWzgVH=jkJEu!x4@qpL)zM^p zf%D?IOO8i7uvGBouP&W|VJ3#961PxOP>xYXo*KXua8hJQy9fFBt~}S-50tH1<=kUV z3R++bM!H~WGlD+H&zjRbs&z}Av-Cu<*JZQjE?ClM*}VP(`p%x+nw4u?Zf^X2dVf{( z|7yL3^0ih%sY9_B>t2KI*z5RN3l^h2ah;~ruduK~ce|BOk~+ygPP6Hr6vP5GY z4oG7iZQ#Lx+N>E`i4;O|;v0aOV}`+J8aUU$d4M`6L)U_mkU19`xEPRStpKD^j zkX?QaAnDfvlI~Iie_|kCX32av1Cnm5iGLoDlD!PLrw(^LXk3SHN^P;jnXcgXx#pJM zniZDDcmzVj{G3Hg(8MyZlnfD_zL~jEa@2soT8FI5xu#Ck#WvuC9~=0Ifu92E91L9s z3PNfrf>M~H43OHY0@RcYU1wrQ+1XHzFqC6TloaRJpdci#Ak@4Vv$2u98K{n~mmJPWhua5stHf^Jj5E1lG13L4wOCSj^WL+rwR(?dzQ(Cc+@??|t3m zCggoj5*f+Fk4uRRc|VXu2ATMIDUl&>og^~I#0cu7&5|MS=XjyEj--uuL=+)Ed8dgO z@?Oo7h(X$DcSF>>M8uGHp+_PH*(LiMI2@21M;kcSzzKjJ)`^%y5aLKb9k2nApTOe? zG!szEz>xQIVb35F=K_-L;t~-<-ZLU7gRJT@KoYM4B=KrME+@|gWJwnQ76C5-WW_EA z)Fm?HeI|Niuoj6|0Fvnjz=-R;hPQ-A-Rp=bPY)y$rB$|jw1t?<|kL^Ec{dtG$S zAf>w3z^#Cdz#j&rJ{||8R8Il27S8}`CJcESlN5kKO7$XOJHTy#l=f8Ppxl;hCn!^BD%NZtp zCLpyi50F||XyO+cxD1f=CjpZGDg#%W^s@n};)?*$w*Oc~qV+_ScY#QF9S}-*gQj>} zDDKb{8-!vr5NS>Ww*r#QqXs@<;-3NZ#xmsvgsA>)fa3vQ2V@yL0m99nwTN)j~NQy7eTHY2Lm$GP(YS28ZZUOFDG{boB&u3I1%tjK)wy1^wSKSZs1%% z$bRc`GG9bQdGEs^8+DfgSq8WQkR_}F%mc0lqy%T1_;Uft`Fud{veTLMQUtkJS`WyY zTn}iB906~gXcHkxBqV>r0MUjL5t%cMg%Spcekgs4ahAwJO~it|M`M(ULE7&|Ko+;z zz=r{u=_vzW2HYR`PC%CU2B2ofkhfNty^SEWwrwRje@sMqH;62s0+AI7b`7k00+2S7 z0%S26K;(Q(a#j#g-u;rZ%20OD6kCL%v!-}lD0%`RkN$uxV;?~B9%k`sxn2AjhG$Jh6mDM0*giCD%vlt8_(BwM1z|6S1X)k07k-i8!gib1YP zrvtLEqX9br&IQ!O40)dk@gf9!BJl!1>f%yB_N?`QG^7m%-T=rFHv)20cpQ-Q&lvcz zNw319hjg_D4h1CLNP~|7+z0p+K=PjfNTH7gB;9O4=3i*=lK?pdoC4^5b{a`nA-Ep! zTtHnPhP;QRKI;)=rEdhJA#4IO>{I3`jY)oAfsUDd$Ik z-cjWAF+%$SMv}I8K*zgA1}+AfJqWdoB=>F*A_iG@0+3}_02TnN0NEL90CgsYyi4LN zwmX8Hd3pnO1ndilF1F=VCJrW|yceX~4h6!FGZK(ZH35*tO)+pLU=H|PK(7230@7#~ z0aEtGfZhpYy9^p8CcY~4qxBi;x4E~zt&gW#c)qBd$!)c>+J#dT%|pRNLi8t&r)fgqXT{;sI99Uz%B08+)B z4eSa?CfyC**TDXOYyzHIGv5e6T?j+o15(JrhG>F;(*Q|)7$6Hd%D|%mS;$O-&ogj7 zAPZS+(oX`^g)rp3EQPE#MCTf!^9{TLkcC|bs53F-eIS``Ly(;B17yih0FwA+gKsnG zubTLsCjMhU&5R-MB3Us-aA$o8GG_qEtN_UBRT)?VNanQ$=K~{5?_lD)15%itfXqM8 zz@a96guzDwaughG@Ph%FZ=%5`0dlH1%*4+CB>h}Kw)G-F%CXEqeo#zTh9U1msmvM# zdx7WzLv#rsgSWYqQn`Ih6pzu# zZ6Y9tzNIF9g@G#p+4W8X^bR29nFt*LxCW5rtp%i<7Xh-6OAWjNkU1X(L?w4S^gIs| z*O?-OtsE@SpAzuoiVHC4Q^r8zdm)I>3jrAR7EqY65b9|_R^~-OR^nwq3jaDF$K5vp zS*eczbqkTxRKyT6Cn0kZGAE%Ov6++jo~k_%o(0#rO$b}5HqTSEH&pyizE|l>u2g4^ zcAw7y%aSJ@!DhZu6d6<-2z<2>q1pgT{&#Y)etCo!Em+>7VyP&q2QT~(D{W_Be?Y2d zI3U$C8j$K63rO`%G;oHAKN^tq%M4s);2Hz3Fz^}!ZvbSkxY^*h0A_%10%SS&0@7pg zD4-|Xq{k7G6%n9r+LsYp0vbY=z1_f_2JSNOBS4*lp;JJK^@fz50c6gCffWYU8Q9gp zz6S0GNU4VdQVU~E{1ian+}5%L{E_qSU~@C{Ib6PnoR)Lz9Ik1F1Emkj)ExI^*k~x5 zQ#8kI=dqoO<1|a4pNVj9I~BcQu5%%Bw786+Gk15r$QZtiFV^lcj5O;-kSN%TINp>F zvWS>IQtCyB3lBtWX}YTkS<|D91NIg~8VtcsU?7bps&t-2td|&CpLx4BPcy z;Dq;;C>WZHm}dal;yVCY*4qaE)ZlOwNKV`#(AI5mJQFNsR{^q>8Vu|X$dP_9AWIx$ z;>QAt^9hi9jl&E)+QiQWWchOenQyUyrvb8VYYcwAftLc3euIHmnfU7ryxzqB0+63A zf|~##!utR@l|Kr|l3oPVT4U&!h}jNEoxBdnGTsCv*&WoTVq`WObP02j3fP#>eml$|?h}U0t%Y)qCG8EJXam}4vK0pj1x&Okz zjUn#;ZSq)2sc~V^EZ(o1_jkExK_WX~*vvGkU}np#(ZpK;Ej=A_q_^=XWVef$t7PDr zgdDpacuFJ1*IdF-L);W?0^~aJUO@jH50Da!0Ho5#0Fr(zAjgG+P5LAQX8>|smG?l{6K!}w; z#Za7WC@un|x;Gg78iQYN@S6?3$>3W6S;BLGJS6%EkPEC&Ogh>^_(cHebtwa+xK)5! zTvplJBH}XGvKC10Z51L0xu|Og$icTeATy0HaI}GA4V(x_Lzo7rJwVeDqAW)NY8e>v z-VpXP5hT0$fUMXegRd}fC7{m4(8-`U4UjEy0U%X-g@Hc-q>8Trq)M*`B#R|)Hj^2~(Enm2@ z`=vcE`oa~VVACMz=YSO4#is}fQwA0Qb;~e><8Qajq;xQ_V~K*HRiNl@Qu-S>xJ1Fw z4?r;-km{OXD2@iCJquH|kO--bvjN$~)&SC|E&=4$;c`Gu zE>{5h+Ib2wWW}68NGXWhvTQmzwnV45luY4>N9dRFwux!Z)AFXYeh$j#y(^2Cs}N+t zHvp38MnGoTXy9f8?*%0D2LVa{sKK8y=}!Z45%VG-E3gfaV!jTj#boF%#Jmg0l6C=- z#2{V8?2Se8)rVfxf8UUH2vw^)0><`GCgAG2^z=HubCx*-o3kJz-3LvN5 z!vM*2Iv|-G1;_zmHXti950JyPDAK$NH)>3N1O%(RG8@Ymg*_dwn~ZwfrV( zf03#6r3S7y@EQYe2Gn|I=v&A^Nd4Vr;2k9jhUOrJkZru#P;4ntFti9MgyeBAAY1n- zgFgqTOJj(m0wF1%2PEZAgTD#5r&hX7T50p{TB(`O?cdsHwk^atyqpoF5LM8Oc7 zvlftDw4@lm1vey8zF)lUmazKv2*8{Q{e_`Tp24v%H2Gq^U5I2W} zgfn|V{r2`;k>1pDJ18NR{ z&Qj*YA7uzapW^@H?QOucy0S9SQ}vN*WRQu742>A8k>+wSA%vz8Y0TxPpb1?mXi^Xw zX+G+gDpFLHU;U(l42{SjG7KVM%rG&;h?vPB4}%dA5s`_AJX{8mCnDw|(%gtVOd2!D zAcOI~@A^4spHuZ!i1u^qc}~4+t-bczYp?xt_Sxrr=X7UMTvIh@O}1lz^taMVS!n!9 z;~R}%ZF~U;3;muEzJf=KN~6u9*v}c^v-Yi|Q^@)&?pvsTOLOZ>HTCuU*$M_nqS(i+ z5C-d|enUfzUoZ_`W|3!zh)Hh?`nE}!a@sV`#u}vl7AZt(Tr#{I zYmoY1NFh?=j^W){gVeu83Xv<4ho&)NgvNCYkU1v;c@JYMkUKojntT?Jb8H2Wid z4`dxa0jd#FH722>LojTK!+h3psoZaiC6cuCvElt#iB#_QMTsOW4H~I91gJid%DpE_B&jsgaFi<1UeVv8?UL)% zH^f?zxwVfaz-OImf2ymYtAW4uOP|Gg6E&G>(J9t6tf}oi=Spu0XFFCb_v=z;lA}=P zF;?d%4JQNHnWq4QZt**k2_gwph-?D#jzK2<;835No8-S>=!Lpn zP8Fg4MB@Xeep#MBpi*v&Ja0;JyXo~lIplsV(SIn<$x(k#rkp(ENzUR@%1Ou;7h+__BFZoIwv(RGG_a@*ebeC z|Ez)jex1sUetPi4HEk&}O^NLHiRK*pr5*;`S!R z>medF&KaJMHAsCODMV^qHoOvRka`g*L~7hH{4mxa#Va`?d&BKGg%q#gh|K$uru5rz z-z$^t2-LRd_12^PKFX7m+d@sAfs! z{)d<)Nsnh3&Qhg*M+=|HE{(p?-)QN*G21?Q2(drMN(?bSZ z6Pc%6FmS@VJKUv;pK>9?r(A&km<-7HfftICA6a+#udO=*-DE=x$xqdHOnIu%*44@1 zVPm#&QERQeg24ounx1pnCclL?BC@Tj43}zKK|<>14B3f+V~crb_HOx z3n@g}4l&WlJ&?}AL|-9s=Yl*34l$dVS3#6J(yhMqT+TbIp?*;KHW|%K^c768LbFa} z`9~;BFF9CPv9)91*>A?4J%Vzw#LX&uwcK4c6+H+_(%F_WSI!#gC$m02&CIS;3_U=e zt{$+vGX{uYqsoHhA*Aor&)0CS7!;rpOi!WGlFYWR`i9Uwh^0AvZJPiV;lfRqn5ewg71 z!_hzu7pdHTl3$HXvc$PShH1X>D}fv)^*}n+1f<(NK!#xhkk#B`xZChGAlJM5fVn@E z$E)8n(HS63UIa4hRUjkwq2X=AJC=SQI0Wf~emIf~su4iymm7`&avGUr{4~R7f!vR) zG`<=rRWZI5SdR2=jTuKWO|VApO1!1z}V*GI+>wXeQy;Fvl3@=;y2SC>G8jyBwSo%%FTPFVq$cFqFNd0?2cAN)5 z4y4jAXgPRAzStQCg!BC_ZeGNuWoh)6qFDSI?h#irpLN-SMZlEa3w=m+?*L%0`yf$2`>zc4DfLo&M$2gx4&1dt6?4rHs32C~5>8BQ^rZa4$T zhMEQBh@S`K$f>gQMTScZmm97Gvb=hr_9{}jzZPc-AlZAi13A&}1hSC*KsxoV;Zb1j zXZ|%izzLH7)K2mgNV@=PB9+@CN+em#LQ|?XTnc1O zmK)y+WPd4`ywl`8CSPm(ZsT7AvcK&EvLEiZ^mi=%fbnOHKL=#>F93O_x(E!y`+MRn z!}+5~B<5PFPRaxAAjX#7JQjjWb;S`9gapZgg(qhA5ZBCi9fbl>=gKpGh^ zMiC#Dm-InEhVBXD#~MBfr2ZtsDL~qt0SwytKgFwXE^ryw+)EzuY|V3jDQ`#-rT;9i zUm@x3;WhMjbl%gw{XE@EVdtYAkBH~XqI=`$`dS%QD|bdJLz0;*3~LP=4V!>mQ?3Tm znN}d3=>!Im`Lj4OSx>Tj_Bz*Vrp9PC_nQ3Bdk09m{~D0)zX4=-J#2Wy($4{DQv;cg&@`5qwsdK1XzJPKsF$AR?wB#{1;j@9%sAoT|Vc_A^x_@RcwfLt3q0pxTr z)_8mlO!7TzIM;BQ;cCMIkh^A^fsEgNlOFxnul2Alqfoc+Ecw$a;=3ehQFrpAY;3 za4C?_Tr>gM57z_Pk2eG9=c_>4+XG~OIsl|!M}gFP56HM&1XAz1$!`MLFYj6ULm=ZY z;72tM1A(+N+_2nm9FX>w8s7+H+*Sj1CD(0|^@iJkUxvnRAT91OJZN~t@EDMhI0IzO z-Uo8#_`uSy1L@%%Bmk_%ntVf%NBw@pphM_hTTJFZY40-$RpQ(@FSJAnS!Mmk5qA#J5Kz z|9Imk0vVTSCSL;NQ#8v=z5@6K@Qpyu)2%@IvmQwMn+&%Z?lgSM@UY=Y!_z?ef6n-e zhL;Vm8h!|5Ik%1f*zmsLCx&HDtKLW;{h4Gq&2XNjR~o+%$nvX!toJg*S|H171k%oG zAmiF?@{Pvt2C|>MYWNnA?Ya+`Yox`4pom9+Q-H^Tw0s802%ZD7gbRij4c|AsZup_$ zO(09SWBgs?KQX>+qSj~-kUeN5kRDC~a)z4$WO;LetWgz^{#66%*D{l@1Tr#>mR>Mi z4`lu=hC2;k2QvSg#=i|@{=-0yup>a0bH>sySo&4tuLJ4NEt7v_{5|6z7+?B~mQx0# z9|H{s84kAep+MGq7?8`WkwE%02FQHlEPaac(+uaDyb?(LWkA-e7RY!W0dn3t0i>NX zhUX36H@snZ%kU16@&5$K{AH6g|6m~NJrqcP$C~_E!?}jlK>D%N_~k(Qw-U&>HX619 zskhN^H<0#U1JeE;!~H<^qql+DQxAiprDMQJz>`4M@U-DM!;6NO4X+t~Xn4o)0gw^H zE1Ja403bacV*KMkp1Vf?S?(y4j{~v>Q;eTwSY=oZq@AV4HyXAYc3S#cdqC-#CckL-K9K(1HvT@4dJlmtf52o#eBeZ0m4wfJNIfSQ;)CrH@oC1- zGMsBT-_om$Ut(Bq*aT#c=?1dp)|z|+ka67(WW8QD`99;{we;ga#_>HM{k;UFoohhW z zZvgT^@l7V*3S_IiZupkrejxK70CKY7nSAl30qaFf>Pu1=>8ORgZ zG$1{w05Zo4!zRPkhAoDjhU0bO4UW%2eL>_L#y20&&y z4`i4w1DWY#!-qg|YMRQ20M!_&+#gEJ$AhF&1(3$(18J-pNMoxFI}O(xZUQpjX5)7P zd3t%nIF+eShRPH-cooOIh*eoDNzM814XahJPJMy?sD7)IlI`R~`Yf632ne ze-+4bZyVwhHRd#_+;?;{93+S5Kp>qM4`k-)KsvVws5K>(+ajAkB&oCv$P#LSEP1Wr zMoZsfxEo00dkhbn{18x$llpaN5UF_tNXy58Ea?=Gbv|$W6(Ae*E|4*J2xJVRXZ05D z03bbj9LRj5fV5K%r2TO~+8+;84@l*HN2)j3l%@fh=~=@nARBIp@r{P9KsIbQkTKf~ zRHLNYAlUL@IAw`K)QL|_?w1!3$)}PX85GxL?HE-0$ENwP#VP2 zx0?K-@t2JsHAC%<1~T6yAbZ2JK-#MYq9&im|Dr!x`5UZ*@Rq|*S;B=+eBvSFM|_gv zyR@R?Bd1S?&t9gVlP~MFw8LUAcx)O~!`F4<=P~f`=2M+DwJm(66G`#&7KlTtAb;*t zvL_B`u9T)e4hp^S>1?nOnt=bcGqExFxaSfeJJB*A=bI*=YLLpCgc?2IiECpGQjL)8 zw3K~@2MkXbo-%w7$TBV&f5Y%5kekQR%*e_H%BN+B>`FxSiaM`DQVOIuLku5J<$cTY zury}%QxJ2IXLk(czSiI{qFl?O&HoC?6IRA}!-+szoC>6+d4?;29J-A_cKp>qi5O5V zt_5`xDeEj{i{aK-gVY~GgUGzwfsDY8ScC5UcSwj{A4kqklsmfIVuzIXi{$(ZZ4R6OJ_DswK<@aR2Qs`Df%>wfOQ2ZbEg)UK4}1#v5Xium&Qkd>ARQbHr2aUQ zPX^NBER$Cl&b9PvAm^cFK!&~E(pwDMP2OYt79h*nZTub}{n~H*86cbKDlm6c)-*Rj zu7KzhU=p)_-n--hE{5Kr{uPX}VHi0gjQ2Yac72ZX;8P`3EOIH^`}z2P-n95xuV_<~ zjZRDHi`%kbhC^lJDNW`G|!R^&JN!Ayy~;p_-Dl}YD0NRuBHrl&YI;!h*qBW7!V z8ErTY$bK-{aJu00h!~p;aQ;OB=z5rLS)X1 zhL>UuQh$OJA~h}>UNgK7r0oxlzXePp@MlN?FB(Kmq0V0*wu897z3< z#y<&UzFEMDz)GMxMJo44a#^s*l$HSL)JhGk+{~#MSBzcuWq%x70NFh%T$a7zn$P$Sz_gRnOpxkFghA-q@-{oGe^IpE| zIcC#c&;4-T%jaka%gxR8QM|xXG*;BfU5hU-v*xnJY9>Z8_bYNe^g2k!WIvE)9{@7b z5g>g!Y4Qt(7l9nH*MV%s4}pAs;x15)lgb?zKsG}ykl}6xvbnl} zTr>Ar`eq>QZUeIU-U71i4;UT>vSS_tQty4B_61V^0g@{~PWIPL;}(z}KQtUPPjij} zQa-_O29O@k1M(rIDwEe6witE-S))xr>TdzEMz2}=9>X^b-vM$WI|NiuNp(YV6sW(i zJOzrj&H(AtSs-hF-uR0^M&Sd)>p=Q=8^|br1Z2LCfsE!|AZM!wKrNFLulF7TsX5>| z#WJ93koq=KMg!@~c+;2)q^%i1j>>sJ)~6E4vR44v677aPK$ft{_&0#Gx6kDJ4c`GW z|3M)0zia$SAj=sxU&|c}Wcmak?M?rN^0krD3<>X2Y$9dkpsj z*^bA78WEts5`-k3AkvA?g4ukZmG|-jr}Uxo&%spen%9GOp=ejXY70n`sc^yzr%0O%nOQDG zY?h3Fa0^`*#aA9C&;A=XCjQ}#p6M^iwQ;eSqWCxxB z)ajuT6mu>G^0Q4VfXunt>J0%h@I!(0Y&eh}j4~VzWF5vCKOIQ>GmNhQvYb}K zP9W{{So%63W3tuc+fDw4;ai6LfwX%F$R8mc1=8+0pf(t(S0T9wq=%ObFB{$hGRIxR z2SCk9Y9CStEQsW?Z3vJSMgZBq<;IT#veQg7ehQEe1y2R$YFMjjpjgH{AZxYI_@zL4 zUk{|-F-t!SWJ%`@Zvq+ZJH`)QsP;w~jy0SNWWFgtUWzOM>d$P~ftm%$Hq+P%WW3%0 zvgEr!wpZ!bBY7}#Fp%=)Kw4}y+ybQDo5mkDJPYIk{=D&*3@;mAGrVDV%kYljJs>0W z(D<@N$`1yz{L#iw0y1K=OkQR3Wk9Z$mRowg$=iY2nxt}nEK9r|kTkl^aHHX7!|jH< z4c`FLxqZfeVEj$Pk4=8xaNrASf4JdDAe()R@#74q0%^Cxa3PRE-)H;*ApJaO{JTI_ z{yoF9h93i|ci(V8wdxH6QeF;ZaK-{P7^HGPFSl*RgQOD^fmEJsINflTVI`1y3yoh7 zq%&Jh{;J{Imi~_M?;0KfQva0U`#_d=)zWVne+S5)COk0t;Kiyp9LW4n8b8r+GLU}F zGhA-+M#EOaP9XDdGJXe;v+%1x4GO8;$MX9Wk{obv06Deq2eR8AH2x3}nfhHGY{WUZ z6mKh}V)5lcb}RF{_JSjQZ4qB_gg2YwsT_C{Upir#(Elrrf*0B#U%&TP7>aoa4Uz5n zuHg|45hSD*8?vR2$0?+K(j?4#8a(k#tU;>AB+Pp*P9eoJ*kvH|TsOR9_yG86P4xR% z>y$za>%zCeb(h!p<~jQCM8jC)0I=)0J4JBb*%P1dV2@8C|{zzc?^)Xnh4~S zFv<9(K+QoacUyL@)`MhlHk#6QAT#YS{s>Srk;?soOoAkt>6j^_|{8hv2K7I<@D9sBzdRgfhm=~sMQ<@q%(t!A7(fbs76TTepA-$ zPk^NIXdtUO0mxWQGJZOc(`^M%GXpWQrP?H!naE6i@j<447hg)Sq`op`<<9dyJN46> zM3m&-ijBGlj#@Gu&;5=lk!0dL!)n7NKq&V+0sW{`A!ztyh>t?huuCbPZ;$bEqwKsMh=lV1R8sifXS z$`wob#BlIZ*C2HW8bg8fXT0HL)#x{tzaz2yl9(zIOWvl^TQm~MVmE788t2PtR{DIy zR>Mx9)`%3hX}f_Oi5pB~JCG-*oyH#o^0vTXAba0YAXk6KE&U`=Et2BHO{ahyv!_kt z0+3OCAIOq!7=P37p5Z6JT$l9lZ)jO%z%L-jKp*yDsUz~MaWKO`~qjB$UD7L8SFlyZ+E80 zE8|&-C=eN?O2aB(66Y5zP9DccMQ@M9(#j&15)D2j?x~KKn>*{8bZ5WsSG)B6*m(T;QbZ%T1COYroRE=~S@!zZ8YGS1+YlnI zMPGYkY?t4*_F;>k(snZHmw;Cx;Y@r3$lm)AkZYH+W!kcXfs~H|vc<;&ImbN-WWKpT z?V+TOLoy%8vg!>t1KF3h0acUKpF^_UG3eS5=jzu`f{BS2a>Vf;CuS^!3OkwT<}{xHe$&@^e2Igs*s{4Y8# z|43FAeUNim%P~%8S&P>a-ZAuMC0NKh<0%>8A$t!`HlT;T{R+vVk;cCN9!;QeC&5E5R zRD-%)k8A<5_wO_tuNl4pgl503+$OF3JEHjiM=PVD)-<#Nk->q~>tL5vtK|d;C`zDaH5LX{w+0NX`*5Skh;V^m>b>E`%3EdNaXr zqIv@fsU?Qgs4$Iru?F0UmLr8ojSxSrhy5r{m8H>tMwX&A>f=GW&pL%9&#B3II=RS+ z*R3*-_>wdT7%G!wmQ!asevATFh(y-C63Cgq-LTW-JwR=LQk~G)U@4n`IeBN#AyB+) zd=#j3$IsX{; z7_I|yuGj=ro1`{EvJ=P=@G6j&_5f*NpW(YEKVkfN;NwWY2;_))-_kz>s%28Ygp`}W z9NsjH)k8kQBmH=07|1fl16jrdAibId)V!n~Kr$I9^)jqBTx!^4*b1bD0+1HFfoh3V z?q_9>WwRx22h!+n<6k%afbqwHnwgY+bmX)pUN*b|WQiXc{{X0&Nac1&i6d9)q)`rJ ziQ|CGG!2;BDo?!5wWKN__kC9|NqRy(s2_o3wP~~irEbP=Fx(8J(JjVr1yXN2kS(+u z$eHU+Aobn>a^^Y)%r&!JPJrU}*I6JnZvbiGBOu*=XjodK&jSqx^8VgPAoa!qR{$ph zS;ADqS-{+{%C`s#AlaZBfi$@j$gI1m(n2R*10}c0fLuzQ0%|}><$g~BdJ!bEzfaK) zv0PRQ^6yG$VmL^qjs4M z`Cw)QmpLb=XGVI=GYgS{+^jV)ssXsuQeFcx2K#_)+5;v(YVwmn_Pf(2KWp+UK#e-7 zCD6DIWDonuG#(h1p^7YFnBhnu^`0<(Jn++^y9z3$c-apXi$^yOr>lGEynKva_?^skaN)MK(^02hKGP01@9Vv((n?H?REvoK6TCHcY!SD zK9Far;q@3`^6JeeLGh7{DxmfiwnZKiB5e?vhv@rp?1kj%6VdhPD|w#Lh@aMHT5#E4 z8l4lx;(g}3w=owlf1j#t?rg*RV!taFOR?3yx-tXHEvAJ)hNT+Fuq^@dB(N07@Gb}D z3T)IBposNAJ{Hmh)TSZzO-NP)+05NQZWQeU(&m1kYLZ$5$yp$+Uoef^KsMILK;Aok z0OS+9r44Ga49E-gAwZtwh5>1Lq~TZ~YcU;2y$T@p=3Dwg!&)HA-2haNNag;E%;|?f zvhbro8b1wWk2(vau`4FO24rC$8ei6^`a^-#8v$g#Q9wS!IR^N>zzINoaDF1Fa!95C zSyH9pLLkGi6i7Ggjc>B_cH`Fp>A?nIu7-`W2^4WVkO9~U{BhtZpjMRBJCM8wWEmd- z*;vxAEuiKg_1j1pf+>`x4Fghh9FP_!02!|-KsMI1Kw6vyq!06fjK@MC^DQy# z0n*-fAoX4aQtx$3f6MS3kWsh=G><^#ZporxXp{ESX+RpE2c&Y9@k6y7E``X$w#0nfWw=mYW*$`%H_myUKAgFGWxFF*$iI%6#(#eLHa?sGO~^k$dby>Oe+YhL zzz@&y7cJ^P)A=_P2kY}D4*r$n2>CF7U+LQe{kgEe-}tP5GZ3rWNcZ}a|2V_n&+wmQ z_yOoKqs>0^j|D$4;3t5e6!0s-4+;2I@Z$phRq$g1{uuZX0e=zv@PNOWNsk`mb-c!l z{)`9D*zq7g1^lsqzXQHJ;2%dYJpR-l4SrLQ4l)%l^4=cQ=U-UA{m5SdnU{YHd}F{< zAEG#&d>9Y%q5tG>n2hBu{9F_b3;25QV<7kPwxBWA1?eDD2Aoj_h1kVpwvpn)w{&iaa*~gRd!Sw13zdFOiOx%B{zoCzG z9}i6DpO0_yuR`C)r>8#w9rus=3ysg#_aw&NLF8X#>EyAPh%N{GW$-@#GJQClK?uaY z=z|XVF-R{D(ksAU40w?7_!fKL&ySQO_LhTp|CzovlYSDs*VoJU@t3XNn;6)apl*8V~S>Ne7Sypg{L9$iT6ljkSySr!lS!@y4rcz&+FSbH3T zKEI@1Y5L^({qwN_e+~SKfCm|uNBu0%?~hM5oos!|F&FcX+32tH-hZ6ml1caaF}(o$ zKK{t_W7r-a^8EBQhHz}3AGnVD6VmxPYhN!>|1S8Ifj-ETJ$_v}w7&v;XrJGT_WnV8 z{6ci--^mQmPdAUtl;`ck{A01|Z0sj}8`494{r+)M-*ZU!{y}^Es&PC%!1DvfXwo=7 zLy+k4$+p)8RGi=S_59@d=kas?9mv>5&bxi*y?vdp2=u4G_=bQ7iPwUs?RkAXzt4xx zXZ^vFR{Y7<=S`fO`5{y9PvrU8(vUx&;jd(Pet^`+H}mteqs7X59ELv%@{{McG2LJC z{OqOMBR?v`^P`s2tv*cWCn{eLcz!Z+R=`h(aktm2{>aad3VQpz37(%4^!`hpe{5!w z&d03$?|M+RN7BoQUulHv!FUwC2 z%HLidMVpP!#_IysR{UDhLQ5xK556_v`Dvk(0nd;5c>Snf`XkBtfjs{_{>~$d_)nf+ zu<`kk{PYZ8k>M-ByZ>%)oW+MczeW=J%kn=C%A0|c>*RoEddPPoeIEuO<4b#d+i2(? zUo1K{lfTjUY&^@cHssqZU$b=beB0#tfCm}(Kc@38T+9nc zd;cQOKMXhVPxzV1`Owp61?i6?eRaU|tx7b*`cZ!?__BZp8LyArUZ~Hv9pU3eaenIW z#zA}8`t874oiCQ@v~=?P8-;`V&Xab&Fgv(Dgg#%?;^UM0eC>+2FL}OX#mBST3)A`H zlve}$d}+zKfam){(ByIXe9wo+!|hdBeVrc`=<^L0KHiwlmrhg%=^&#$0nb-Fgz0=M z!+cB6#_s|;0$(d|$I{93m-|-&p1+Ux{7mO>*FB!(`AhX9=0AD<9mYW&=e<3g5A*Za z&CEtw*1vXKtMIqG-oMH7$E_35L8(ukznz?5`N{Jak=?l@oxiDb|Cl}&!NGfI;{5y> z)yN{{-+?}VGgM~jx`uq)$`jEOPS>Pqm%Ig4>IomOy?hlNUTqOP{3Cpf3f)AL4N+GqTKY!^Uuq7egtHU z0eSu^Vp+iRHxJ(bna*E0`23iS$I2hTT#579yd!{j>gW z7!DthUTXf3KLvhM!1Ebf3~BL~>3ov*iGb&Gvf~4uPr<$&@O&n9VZeio+ne_I=l*8> zEB`kbkH|j)I*b>2J}21}@O=7lO2C7R#}oB8B7KylXYFr5I-lqB@kgFd@p=5o^BKKq zmY+PIyz}DeHiPaK`G`HktPYLfjr@?%lhtUw=Re0{+5 zEYD|FC}s?@@q7I9xVA&Ow+H#n;HyoaJfGI^{*=wX5b1n6!pBQCy&dU%>R_19*WmfL z6-QtGyoq$)q8@4K@)pTV=_OH*E^e9p75)1f7bM~{+6R) z-jkXJ`(LeETt2&e@`Nu>m>AV`b+&bM))YGP_B()vj%Z#XY)(VPg|Wkp5`Olv32!1Z;5$E$O@Kd)uEu;n!1-dqE?dpa)%~hzc^ISjG)zF2fZ5pGd=2cBe_LhdANv*Pl zy4rjT+Q{5#uPM~5fg6ivRy?;Lzi7@2iz}=1RST-~FDzcTaKWPLITcZ%anhG2dPyn0 zIg1u8SQN2x`IfeqThM7*qI|xwtAiEG&uVLHu~OiD7iAiPxT8l&wJZq_lfB$l zc*)t0hSvJLv~jBV=c<;>tbDE_kN=D3@b}#Ln)-UgwY0NY-}s6dAg>% z@D$?k^aSr8On-ZZ%xYZ{rNCl&&wwKq`w7%lKA^G+6 z(=vKsyrZR|p&dU*Z)-&h=#Z*!XsLN6zXn1R)YPx;>Oc=^X3tZdmut{}k`b87iD-LH zH@xPRyjpE(YirL>LY2DFY1#_;NzZ_;!w>E{=_^NNgi3}bN%gcOb~m@Eaj#yAt}%1= z*Q`slwML8PvTtBoX+ZDIL!h07{x;XQG#J~0-#DSzLIG9nY-Tp;ZQgz}7kzc{^K+`I zOQC%0zVUEJg*NJju zY$=_|_WaDH`R8ZO_Utdb@Z5r`7ed<=6^qdEXU}wB8w=+|=nEXqp$e_eiOmK+G2w+dRTU_#6TPKNi<~`sX4KrVu+X-q zxudSDtqXHb!^>Vd8G!9*sb(}-C#DJS;b;)_ZjE_+p#g)rA!=;t>S!wTSTJYJtfq=q zYe61So&(qO3#u%aOfH2k%xN7p-3|5mjzYsKPwGI!*3`d}?`%Uqz)Yv*bgaR&*nkEt zbaXUZ;9suk$hS2%YB6&v^3Tti`NHBwbEHwVX_(qn(~>Xr5>LeBIlMzo zOObx4-K1&(S^%}wCPt_1gb{0Yi3~d`hNed@zoxAovvtiYE%>gEg8 zGppIu=v2>DqfdlQ9d~!kaArZyZt9zMceF)b&qD5Uhje+(i}uVvSAom(OfBavz&lQA zYF|O0&7>@vvlN|MeXCk9yYg%7elO1a+8i^_X{J!~vW8s?d13MF*>hfa!4w!`a~z$x zBEMj*{KHsaR$i465~VO#vs!2DFVg z1x`CY@)uXdr!Z#(h2M#WSeb8 z<7x4s7AInMklI?zyqRcoMqsaMZfIzb(+0+H$14pz=p7l&+4E*rRn4hHEpVo^0JC*v zimI(?#yPG>B8u@Fc0-F6JEyIpvX-mnRKK`j(bpJqjhS^(?^fEF9Kn?fUd(2myJ#k7 zD$YKgJ+`W_j$Jut&cd+0GZm^@P&FrB%=oH6rx={yc=}Xt=y_*Pd)v!cmZ(JHZjy{q z>v&5SE_e|Wo2v7S-Ow7>UR5ld2tK=Re(ucYD$%kY!+fU%G#S=4t=c;75~iVS$=*9V z+d479u#;spsun+w30_85CZ+PZ7pmuAZ19AlC3IrN*0H8{FThzOYUc_oW1+gbQlrij zMdgBco|2xgvs4}{lcwg@UIU&drf|?>@inJ94nz}I(=u((ejdyC1&e0K=OyceFD_b8 z_0_ygW4*jWg^=+4!fZn2b5&oMfr%SGg6HjwT z0j=8clBCP2is!bDuG-j^oG$vCnUF!|C1%tj)qHzf2i8tlBgJRtd`DYXp{_y73X4#E zKcOazyl1AQ-4<7pVnWZ_3Jp)=2klSCmynn!W179Ufa}Ki@=|8U;3pr|XKcUo5Lc0rZ5^_r()e28_+rprz>p(hPZaP@|s_T~Z>&XB(Zw z@;0+sib>^SrMadBi?wXAJ?qnr5@hpi%Zu`P*ojm(bbL%U|cx$b6XNh=O~c#6T5z?S|pQSwgl8qPCX$IG)}Lt&-Fcw~AEV6Vcbv zbTAWNcGtJ*)XP3Adqsx5T2wsJk}BJEGkArZ3bJmXnucu4`+5Mb+fYE;95i*1iohBEge?9^ zJtiV-$3TUf9oV7h5xxzh5|4LtIu{;SsvQkNHMh2R#rstp$FechT-()&?Jzq@is8?53^zB7L3ftm^_87^$ZMJ% zkzBa?Rku7pkBjVlZChJs2UaTW?YLs=bfx698K*~WU7ZL^yv-uJO}M7_jTJM`s~jVF zIZ0nNqf0C1%!LllzbIT!R&_Xybo%ZHu1KZD-EEzkQ*~yWC#B(ZUOlrClX1#9oR7JB zOSbmdd2sRBRF92G?87y5LW7))qd>%g)7}{>aZ?bJPMoRGfL3T|#aT3wsdaQteaBFq zJ8?lWP7>S~O(f|OyoV*}XjdyFpH}5$sIofYu;oaJD}w$K z)3R6&E6&jL4k~BHAQM*3S)Da7$tyRHdL8!?Gc7@{I9bL@jENT*hc#4?92WN^8v>Co z9s(aEiI(QoEzMMk-1G~a5KjXh#;|heN;Q2ZOYD}!g&U%5m;#skIKbmq{(1h=-}cUY zyA@q#O=F>!fzTQ+GgG*IG`y4SU*!Rx9uY!Zx9@}}HXSk+Elu#ob6 z+8|lcl(<$^O@449k5i1KT6UIJF!0+TCl0StyRnHFc(gTf$-WB@Nq`);XR9l1F53vnh zs?vB@y%xab1MiiYYk7uDyhQ@edoCs?B- z7Of4q?^BBne(|Iu<%up>*(niQX0sC;FMnla*3c^UnUpxob!cZ>#OW3%K^eo6xuwOL zjzbR@QfshXBqd;FgbjZzcnfvbqH9D`7FcX&t4gXnYbaT;3|YD`b>gIFzC0QClUi5B z{el(sVmq2!8(B6MpRwC@sX%?d@mgLauc(_y{CYpbg<_gC5`_E=l06FnI> zlj=o`&VeZDNTXZ2q>~cm!i)uCrKn?fO-)aI4ZD}`AXP2I-ZRUO7c(+dc;e3ti)s8Q-?8@JqwLMLi-2v6O*`%-6 zHNDh<3pCb~`}v)(v^PkR+7j$wxX!>F$lD*ZAlKBKZdtilio1HPB5A~}wR*WCmcEHz zB91dBD?#46nrH?MuEv_{c-s_-cLn*MmXX~(l&QWQS6e-t1-O)QjplZ%4AtSD zafpK0&f^3jmi+Y4t3TB5jZCl$s3M|{p!IVLBK{UO>?G=SbrwNd$GxsKHSJM-0hjWz_fYk&z&41Z zCB3;$+PkA+6*^v{&XU^L*eioadgUs8nL*}mMq_aPmU&tx>H_u&+Uk;-FiEj1X*x@x zTt;zy(%h^gj6y#*M|go7pW~2wt4WR)tZs0ll22FY-04Zuv7EseX>9J1sAxf$M+-IB zOl`qx4Cjw}JTZVbXwuzSNoP9TG>@=kv#H+g=|m3oSX)cLsY><+P+u#uC0~PcJT^^m zLp7@$=GSQ#q4FYle`QrmTP>dTaFLafobai`B`J!<8Y9+|sApnpCt!8Y4eG)SFG+F% zu1+O0x-ZN|-BgHb>Tylq*^IpvKEaYKqNE+!T&X58Cxe=Z#ekPlis;8JiwQSxts8sd zd@WWBQM>rzPHI)c;K?#nJ(pIqd6iLNix!#Po#!}1wkCN%fv0=K0XHSniohXMt9GaasCVKaKems)zo58R zmuv9cm53WprlU<(%9^m zy|l5VtzBL`O7iy|)Hu#+kd5MQt0bP=cs1Y+N?QqAti-g(sVD>kN{h+#Hfwckkqd>r zZcGG9ClPcR0OS7Bf!W7f-7SRgy z4p+t_^rqTZWKqt$6+CaCVi_G95K#ks#gyFM@=D&XRaqCkK^C#Y+;iQYhehQm=2sPuJo`cyG6og|_O&x0fX$&cL%PF1`Dzgp8B^>xu0QECX%Q z4Qyl!PnC(2K%g4OEZweF=Opm49=c%KT#~W3yyz?=_A&dLC)h~D3C*LJ5@zetz;*~4 zKo-BPHNlk)z7D8oC)}W|t0~|?GM=rtu_#y4@%paVxk*1wsJBUYZf}bX#2)g#0O!I2 zpB%!v)Rv`eEtbw}39hoa@zdGaLM7aDD-@b>iD-6Pbs0x(kZLSGqf7OeWQ#q~(zCUj z=Vp@^ht*loFICwkt}pe#C6NY#^O{`Jc;MoiNr;j)aOW!D<#R)}T0@muT6oKBRYT-v zV2%SkxjzV>7lR(>?PU@0P)1zG4j>vI`Z$>P~{ACEykW9$?^gi@1|2H;-P0 zAfOw_{V<}>M zPfL6T?ptabI*BVycrdn)(%t8@6`8B?u;GHmRkP*USv+HiN4QcR;T(*)C=_7`aV3UD zk`|OQP_;lz1mR9@vIiAK>_d|l@V-1}S-65pX|L&66|HG*MOUyND%42F$2Fwg0)ai- z@s{gA-Dc;lq0mf}>=lM$+q~_o262Y$_cP)I-EK|Tl;K+3XTT&9dyQSpgkiVF_I4sD zVnn-t!^?=hH9ywvcD?a0-uUvkAP?l6g`XV#5RypvXsWqRfPz9Plzr3ms{eOYyGSiHo&EX?}Y zA;Fz0**Xwb^%MKZiK@lLVIvoL1A)!ui3VzKdmn)o%g4-&D;e=Rw8#Q<%1dm>l}W+KV9jDC;i58qVoRWJ`}R_%m3bdp zZvqD|&i0>&aUlo=IkRv(Ie4b04>Qn2SK-R8UY;w^zGw@gj6QB8LGdY3Ol7h?41Fd6 z_e{B@;dVD~+gQKqh-8Zy55i*p32{eLb0aoyd2Yfoi}&~QTBvN_!EQ%Pgay;-OvRIUquSOie*3+yrnRjV zTO}=dTWNC_6c0{y;RiN+N9PJ0Wl__vlIC3{q^}C!va4jxE>QYMy&4=P@`eSGxu`6v z-&N9(sMbR5bsVM9Gkb~qg`T*Vc){ev%O>|Cu7UqH4tK@nj#)Wh|2Z6o%Sq1@KJ7a7 zM&p3%697|{d=^llq!-|dULk6K1&8yV#d*&+6gn(`6(%xYX*6*!k$EU5^8KWgdp<|6 zK*Fah@ab}GJJ-uaTmEfaNY=P3eGW>K#ys=ISkV)*8Oox*y%`VXLBL-Z;GcD zFROJ|Nn6sD%Az#SH=^Fbku8mHM!naEoNq{d*oT~NN-Z7G+s?C)&A?HDcfSCtg=}65 zSgE8tz7Fgb5jAZG>{QZIUkAGnM``pEaexkiKPs{>#WL5A9>a@waAd1R`4Eve?kZWe ztAz9q3a=w>H0ZGb@Ak?}&d$bkzG$^9s@+vm7lalv+R8y>x2M!$D1AmC(=ZC8}y%xrGqDhyzt8@I|qu(KgIY z`d1IW1qVF&eB!gGB2z-vI{6U#5nggA6990b(9gv6_z3Cu)#g7Y17|R_hT%xpc{C(r zRT}7eNkRGjh?u6-RoIHA2#j z1Emu9vgNQU71L@#|BJq6hr9Q2fUUUc+CPWn%U*E#zVXuiBTJBNF% zg1`iQKalpR*yK{juz}g|eaTCrc#`Dnqle=_IfQXa5@z5ijRxZNQu;Rn{1i!UCTMap zoszGVA%q=_x1$X(;{j* z130TBL2Wb<`1b9vLy|AUTR6Q#lAbEOuAxXz$1xzI|?|ILe~cyGmZ#RYH12z`Gtj8G-{=2z*U==s5W;INWj4hlE$hNgu|Mi?)Aj zFNyO(qL)D<`*2_eCA@$L{UL?111^9A)Te1HUzLFX{h?Be;m8XCH`4`WoVb$-_Cp&3Z#Q?-=Ud zL;lC{j!f4jeNlK_CXnX)+p}jv=KCV#aB&%+LCF(f@=?dX>z9vmuei_sbx*)vjlRg@xlcu|_@BR*gtO^GwRw8XO zU_|Ou?$rzf`5Q<(j3ev!J;+83pT!z4X<6 zqNnGkL@#}P!7`cVOCK-C(fv;NwBLz5y{=5;=?)<2=}UNrs&^aG{2oDH`96X! z#@j={5~zSY2{@yq`^5sN=;O_`o%bxxd%p27GY^LsOnOnkuK-<-!|F@&9ZA;iK>A%A z1EXyNc9m>ibrU{4dJ=ispAE3N zH7JKPKXc)|%kw!tdO6uqUd*4YUOJB1W=s4?+spc!<@xE2V?jMhKNLP)9`#P(z?da` zB4oT`W$K^f$d1X&kX;qkCc-sQ(Tb72jRSj#rF-v!xaW*lgy?Gqj$e2=BYNr&X@12eJA7O}8_2BQ z2grLJM>tdSt1pk??Yo|r^bq0G{X5Z1Pf?FUcX*JWG`|#+Et|5Z0zJ}Ggin{lugc5} z^hnqDp*IV%`8Wn)vA-?aT{`MVO7>QQUn#ojY3otr!!Oblg8Zbrg-^FXWt#%MouFS0 zcrTASn?`4wn0MfDvoS?61x-k zCU&)dxn0lWR(Zpm$p0`7ZxhlpgirgI=xI6Bnc z1#;5+g-^FfqNn~*?;X+8Gq(Gm=xO=XJ1BbTcH>v2eoN$OfB1E&-|a)ruS}iqLw*9X zKkY-#uTcGMzw&gP6a94D-Y;T@U#*JpcJR1eK|dyZx(`vWRODJ7Y3gB2#QRA6;?)q* z(O8iFKH<|o@=I7x1bU=r37>AOF_3*pww1{6?yVYCtcMxUcz>lyE#>?OXgT;Kx_PRtbeTGQn=~yN5^r$H& z&(3Q-p)t?w z=!GVHx_f`RJRMwLUV1*qY&k9uu4P^H2&(*)-5=n{swVRE@ZhKHzTJmDKS}qwA582F z0{#5~?=CHZY&nkb!jYe&>kM>B-w{6D4XM{7a`lPyUE$N+_&8*zaFj-q_nre@6rJ=U zqX5|z(V4iHcw2O|#Ypp$c-fdxHU!@daXINp!lzq0(NjOEH%0W)^-1*9H|l*}^mGw1 z9`uBO_ZSW}y@9y!!WIB7W0;HD*?~SfmZ$p`Kk)Z|iGDG8y4CrCzlK1+3H0iKch4Fj zW7;FlN$H~9M0V_dRz9(lw(pJR>5iY(epWxRlPxd3Ds?^V4~~oH6x^9j7}qG~DRTZm zm@{EMEob)Bk?5xfMRq<-^d7lxiBqUs!W6fZ9ukROx&vl6ViUbb^DGm8aXv)4dH6K0Rm? zd3Go~nqHDWJ>(L3+D^6)BzoEM)Ah;LNKX-7uYO3+2zb&<0-p5BfG5olw`R9olXBAWqkN?3Yy3z*fdh{U zC(mO}f?$>?sgsL9YoY024u@PTqlJ*xe7fgGTAfSp!BMscM{q*k3U((BuLtRiIKugk zGG^nJ_`EUmzPK?Oy_fu3IDA-={&nHi)%~DHJ=QPj}4P`)w02?ko%uxr(C+L@T8ACo#ZEdJm5*+4EWA3!CM?&-lWNRl;O(>e+BgBDGBdY8u|?U#4#x9 z8MC{jXYB5hQG3ac!+{_W#^V@(vH$tKo{67qega3f>GU|)3+SA-s z>TSS*=amT?ag;{C*b^pSfXr7Jr1^R5>sFqpI`;0B=~i~Vpf!W1#Q72NV>sLj=|MkEKE3YY$Hhm8T*n6K9~C}b z8b3Pz1`Z5C0>3eiM`YaxN2DfXoJ!@xINTRk;fKj5;BYzV0be1XUdK~!GLFIai$onI zlnjIR;Ha-CGS3toaFS34#o!rte)4>@l%%yL{bk|PwdSYLR|I;bPYa*+@Hk{A13j;y zqu0=R$E-Yiir}ZzF9mi<^Kn>(kDeK`m*i+1 zB~i0$gt-SJX{aRE4FfPU8k@k+xd-h|_E{WWHPSbPPlt?pvqY}VN&2Di>ESR9vRWLh z0&xe9lISN1uPaG7s3hSCjq?zV*UJ%T58G&Zkf9kHep_fsdp+g-=ItJY-V?J<`jB z*G=1%pc@08^lIVL<*kKmQy?e(bHbZLa6_tDqkSyysg9S)0hy`90l7MZ%{?e>UCq(tRM^R@qZmZ@!o>>AIKM3B$|$ z1oap-JL%`7>xEC3PrV-&x!NP$Bz$^e^vPONoX`= zRU+3D-BQr)0q^y2%=V4!$s*B9$0d9MA<;?4G&`2xh95`77u7oj`gFj%odX#?=J{RG zOYcKpg6uCvuJ*2j{xIO(-ZjXWmOWwKg6y9HebQ?ysF!Y|Qpn0huH}%PF1+?r(({6J z(uE-1{dfrbgCJ+WC5{&z?Kh++3$HdwYZ;oJl%YJ!m>=kq?iOCtNpBE7-6nncP!cWo z;!C1$ddZM_p&-3HD0p>fes@p=>A7n~ zkC5z1E8B+I{3}t9O;Q&vkMw37cxYh#UJ|bciC$XFXz* z=%~Zgr+s&j^tOQavi3uELgX5jGoa50{AJKL0^aj|^Q*Wd!V%0`tzh55;R}KTpw9=q zXFW6zTUI!5wL-WjWKEd*2O?@b1-LKdN>4os)=~+5q!zc#yfn#h5&s;ApOilUeKX*_ zya$j)&n11DbWZrp+yU8m9H`L8&ZSACd1{bKs1$Cix$&_KWLE+?>AS+Gr*7(96}kFF`o8e#Q^6Q)IX;5} z9m$K>2=*7!q9b76Q_=f?8|l=0U>~Sx;ENc;I0ADc!H!lDVV02b1jy8JID80A06i(- z-6_YwaIm%Jsct3V(@}AG%1Wb4D^PAF4x|#6;K1#YKm0cvTtP&uAof-v-6?#!9A;%& z-zQ}^=T;IvZKWF)eon0GC?S1X__W>y$ZiFCr2k&{^ci&U(kS`?99}NzNy4Y?O@?en zphvpUhh8USYXd#fCxuU!+YQ+U96S{f-xeLc-aH8UaKMv39`GI;+C49Fjl~7f9|^Bz z-2*)ci|p(aeHwD=vTWjA9A1Csi6oEOBt0pem5W@*FzH#so4+0$L$8;Mi#;yMSR^{>`6+u@;(F;k>H2v6 zT{p`o^0ePR*Lu2Rb`;|_e5t277W1=r4AS#*l0WN9vD>_ls+TUOn1AR)1KbDvx+|t# z*4zI_^Q#v9q9^DZq>l-op6{vm(;`=YNgokDJqILu+CQjwLiBXy?EbEYY>UXXPmumq z;WcjiK>tR-9|V0^_;epS1=(K*dTu|FtH0E#SjI7zzFfWm`QHWkg%&-ne;Me(IC9a3 z&v(9fk5=#}VuTxG38<5_vi%l)o(|R(fgg zfIW;O+f69@QyinC-2cM)YIo@~V}=g;r+*rm`+MPr4J74_hBW zw)Q7kM!Ko*LH-jW*P2#S;L|M$)H5FWt4zLH5@oPq$biPY>lpo}Mbt7qN2#@&U_}HX=P&_;kHWA$t_P zO6WC6e)X4hkMQYwC3@;V_11}Ax?Jkjid^eMdV}!kczSzg``Sk6ZWVp?hxF^hr~Nqq z*-;!EUBpwOqj^Z56JGO>z8Iu?*_8dift>Up>>T=4x9i=6{7#@JwCJUM8VuPFh&Ua;nVe^-p`6W?awC2 z4vRcpU&{Nka}@Fuf&LlLgK+JhT~<-=lIW$&JJye!wmdGy>|Tb>oj&Z+hjQ^l>p^6j?Qdg(Dwy=uv?bIdZ()b%A#;zxQa9||3o z?fsybAN1{2(bIa8-Xpw@Vba$E-s`y!viC%;WB5Gi8v*ZnA3}CpCyIMZ!ZsF7ILD}X&@Bi!VOyKM)>U@9F9YP|=W`qa<0z!mH z`X!x(5JCt6!;TSSzq2L)1x!*b6+L@KK1+8{;z*6r|zjL+3#{V-PJ}~#vv58?3U`2u$IoVD|B?&maTZU0VP*>c=DKiR zUb3I!u+RT2;+XB?@$RzsI_%xKY=?^xmEU}M7ZAtkE*@WA*}EO~@yLF=!~Q2=+3$4N z$8#_C$0GN&q;ZW)$NSI9lm9eqHenRE#vnaE=i=~FN7=vRu+M|+N+(P$voyW`EY1AX zbL(mNa%O4xd}V2P_bd&MRmO>t{IWE?dsfc8d;>pzP1{6VuetL0^2y#3$t*Fm4E|YA zXuGnX4UJ*Ru=PKfKaRga@B+uWC~0jSCzhZ}<9vBmVnz|(2C;ae;K8hcbvYFJO4$`d zz=;|8yAV=Y^t=QLd6V78;mef8;c;SSKIQyJ^iuvL1@eL|=F`Fe=` z{n+`-_X9tHH`@8n=S%#p#WNAVvm^hqpYCwJp5yjK7yMEqCS0$Di@@;rsA=&Q|cb>>T!xV9q3j1coFBL3Z@T0Ae8}$ob*?S`#(pU&j zewCJ9E54WkC(0NJ{^y#FnflAi zPzSAzf_6K@@WZM3!?d)*jmnrX`T$#Q8$nMLN|HAuj`1eQtWPiY6 z>X@IxWPc`Lswv1pycZqc$1l5&Kd&1qzxdh}brsL=m_5WJ{1_B;QTAUuobMy$?>Q*? zP*mP_S(*iv{V9j@>Lh<-9p6`z>@PT+7j5RxtBL$Q=ltcXCiCajSpJ@N{t88hHxrWT z&gMDS>__oj356nGf&F2J^TYN+c&i=Xr*l2_MU;*tF*hx2v1kpL|&(2K2fB-jSIscsgxa~*5;sWSnq0Nf$RZA`k|55~nX`ns^J z#WK)H{#lP&e^_|*6?vTU*hr@8Q7H19h-XDUI%6fzVE5&0r4Ux+ z1z2kx-IacSAtOn@FXeC(UWYDr|7gnLW?C)^hjmfP>aJC|I5v4Vg$s9x>8g1AoNO%f z@fTpNyNi1-d;Aq^^SAK~bAJZN1(K`!Ys*W3K zudvr+bLo8n3+X+mM|)G!4Ho((xdytRRRC~+Le;DB;Zp2r-LBqyTcPQQG$%)!C+ z!tzydz81r~8DFv59*c_x4>w?(Kzdv0$5+D+{rCoDDc6sWK^1cS_y=5K|8Y34k2npR z*d=(|N@9|DNNjr&i?r+>7jgT1nqi^w>Q`lMHdKbv4)62Vc4dO+qVI2l{J`P%W ztR9N@H2TrnKip)#LOA8AlIq*0AsqG8-j4}jEb1>tQQr<9hJT##Q+@jY4A(A~V4+=} zsYjt*UJ&uDU0#{7l9?2?&;&nhYF%i8y~Zvy!Md@BG{NtfgKrEQ3^_%=&sv63aE!4- z8^hGV@~Zz0gXPr?*A!kfEWNE%mpa~LYc1xdquia-A^&MX_ zJ0s?nWXt(1bZ*az5gc0{2vZF$6?DQ*XMQUTxSn6H7rCDQM&}yq^-DuIze_o$*KZi3 zsMq_WcU#l-!PUSG6wv4S5J1+210b zuj+eD)0KnJkv$yo%6;V(bo$AwLwTesqt%#}WWOs|=A*}ZkE={*p;}GD0i0A6~;sOIdYh#x4^vxm%UynvS zuLzrq9DM24=b#*35p?--j)Sj6};mx6})?~g4^aVSoXc0e2j9)fxY+#p^*l`2k-<`;b=pD%KV=PN^a`FN6NBM)BRCPsA~^lcHm zsJ)eeLo~lH$4rGG%}o6!PsND*Jr54$)Z}emUWM>+JRJ8HNNpy+L4YmE+VvcK$(S?j z+iRz*d3%BQZ|TkvR)v1Pps9^lKR5V3YcLG|+nNm1(OPw5inAQ6Db9K3O^V~%oTuF)33Zre?;G)aqdmUJA+E&{&o|m#V(DsPimyG5=ci2NTCKm9%*yk+dizVY z@<892sfrVB*>HZUQJYAv&H1WS2L_ojbyU0iSdMsUcsJsgxfyA+Brh?ay_2z`iPinO zQ<$NX-@UD-i(SYhct-GzOCeR;e*h zza)f4?)#X}6gd>_)`+LBV9b!wV{F86ZDX*&AeJ>T%Xtc~t*+Tw1G+QvG$En&r+4XG8Rq}hgy>V@h!e>A*NoCX_FjxbB>Qcz&=SFwNjXNycapAhrZ3X zoP`9A#U-7;uLnfq#BD%+ck3UlcDZR`+<*L{;Ff59mGj)+SufZ0isW)qh+0aXhEH|{*Lah4t^2| zY1NaCJYQb#fEB0imqVW|a#z%sCU4s&jx`r3Tc)@PA+;pe|4WkGL>$A`iGJXS$#lUFcs2*jkZ)lIMrtc1tdshx2>iNCY=*HvhpNAjsniUU-=L zt?2I_v=+9fOX5ggNzS4em*)!%a%$I-eDf!4|6ovy^PpbDwYW!IDEG707H6$4w~lK9 zai+7WlD%`hE5{7=o*WgklYfd4`lm^17SrJ#7 zkSgVVg(7&e5atU;qe!Y~#M?gN%YK}ZxvPf4O2P3<#H7*+QbgA!f#<{WTuDf@2U9D)>T|Di_GZ@*AGSa29!N{%~WJS8u zRirytMY?CTXvEV!sKLm-(^yaY@f7L)Qc(|7G~!i_bcbj#vg_{5q7m;UBi-{T(p`%p z-BTEh?7B}d7}<4ipy)0m-3utv{e7a}Lcz$c`}ah;V^1{JNO#9Tx-OE$-n96zO^+kuDn&&4+@KU6%@pboa{tt5F=fv?UnXb&rVX@(F=E z^eMNo`(%9CyNx#T6zReNkuDezO^1p`yg5ck@f4k4w2@~pvd`NuAa8F(Sauz?E}CGZ z6Tgc_JRP|$(m~WkBc2YP7U^JVkq(ItMs^+GEYeZU!N{&7nMFDpc{n3C9bPQbIm4pl zFi$7*6n)ib(p<(%=t84K^RifF?=?D$r${H_rUtuCnhi$wvy3j`DY^nG8u7NwFLH;+ z@>@G{sJnPNfm8ID(NjD{zlMU5T}L~LbR?rlM=6SQ7+=wdr$hBb$1KQPWxw9&<%lo) zPmcDaL)Jt(z)UpiSWovKm&H@G$!$aA-g%-wX-lVU>CCENX1*H;i=plW5{1PwPIw7!2uDr(k5i%jkZdqDPH%dXwl4C>YsKS?o#YF^P2Yl1Qg0 ziFAU|a7J!Amnaz7b;3~5h^G^UL^?4@q!WRHkzFVMh;%BCNGH{Zj)ICtypy)VFZvq} zE9@yoI+aB9AQX)3IweH3;6t7+-5=)#q$3Z4k^Qp~ zmVLdEjw=x9m;uo^C>YswoPbE%|BGh!5_F}*Z%4d_XE4L@6~}6$yLpPVJzb=2de$bMfeTcW~uiQk}*pr7d507qjyM_V|Y25@P*yVQn z8Li1H`vhN^+Z_de*InJ3{F%4fU_uI9f(LVN+|p6InqYnrNqV4t$|RHikTf^d+wa|Q?P3^=rg zfVcG)``hYTLdN3o$_!v@<<6nlv?eSqM5fiXfQ-?aM1qFh>UQkJ?mOm6I5OG%rkcRv zsh7=fj>A4T!uw!9EW(pgyDXdEoXOuzwwvEP60B9RD|oi>Px>$UsbnL_(K4Pjup~Ev ze|4UJ0jp1t`$u@bK=_F~75)OA!89YkpCWRt%Bjps?>3$zMrQfB9sC^hPVsTSkLMGR zbWZqTp20LDe;bHgt98;O42i8j9u7+2FwHg@Q&jYKO zNRQ-SKUr-*a!qnBSoQxYuvQB1HTN%rwOTmA@SR|-8mj#(yf*D<1@QvIbgypZZ$F-L z-^f#|e`lNf@4#C5TW@#^SgU^%3~RGQFwLk>El6wC?`2C*UqxL$at%54Ce!ooo zS_M0SM3ny5zzep?^7{Z-D`XSR{b8_H$(ZOm|4)L0X-58@A%3kEQN=F3m%&;&3iuD; z4H5nmSgT0E{SB~Ilu&6GUggoM5)X%Wrfmn)jQlHqTD1!KQU0`gr74=^t^8>vE6$%* zvjSFmwX!wG{O>`2wYqh^;dg_z$~E7xCet$vyqY$2w(Rli6EMr{X;_)BA z+ePmBvgS?vYNjRs+mLbnV(IIvCD}m*G1H9vzMc4gSz!z$4arL%iG8&to6qdqS^e2zXiS`ivL;gRp6P#Cwct|{3v*W;ZY>?3V5vHJ-~kjAJyXhw}I#Fmbo7fUIbof z{(Hc|G(-M1;@4{KYa}B1pATM7`ee_Q=ks8#^gd(mcY<%heJ68&0DM>E|EJ*D$d3o% zMCsdplSw%bGVT{Gs4) zM(*>#4@7tYSgX&WKR+3)mFSi9qY8g2ctL9>k3R4T5&kIn#f zR`4mLzk`#sf~1 zLO`vyb{ZZJ-fr9)V)pf`4R>0FrAl3TM}c)>9uHUlCt}$%@_!2Wt>B>FU0@xg7yMVT z>>Y*wU*LCv7g~I`$fKEN)ZbT#|0?9=%QqtVMw8QflK8dCuk=)3FMze$e}UoG!EWW> z@SEW8A+H%=^@k&P_tUQc;eB*_uznG^)%?F5tX~T>UMl{H;D;%nh8^`Ev%$eML!JwW zU%xtp_ve$r`t_lgM3tW<;76nUNd8Ymcv+M4H`wI(FC>2bnxJl3<-HQDUltY_{uEfh zE=a%S{@Ety=WE2TUlVq>^u7sx8F?#N>BD!i=$D20*1!Ja0NeiZ)G z;CbLspErZ&M)(f!oCx0wJ~RsdFgTcI=<^Sn9RE+79RI&IIsR9O|EQ>b-e_`qqxgy9 zn5h2W3Vtv6cGIuD!N*7WnFu~H!qdRVMtC+jm}cnD5yY>rn8NsR3|L<@RY_Fs?NqS7 zYI@Lc9jvdK+6|u%{s8Zzp?zq6@&0JN=Th8H1pE0yYjOqneUbll;6;)D>%hS@qrB^h zzZ#|gzri1h@Lk~3BK!dObTD0#>%SidpAxzM82mx-2y_2AIGASS|K%pf|7w%t|10r- zCwf2Ik#&@ZBfJkdm}bata+Bkq*5vr-5Pwf4NT?+_0enh?PY17!a6kCc2(JNO72zwv z4@da(;4ek^4sbBdsE_-b9RDLtj(R1^5TO3PVidZSL9#r zRj|H}JkG+O1J>7qlMG)C))%c5<-@V}N_V()$J2T&Z9Q@a1{`X?# zZzK3)tVgIwa{m?h$tb`72duAcHU7%~7#4o?^%<{R4!;xp^ltw5D7nuDYqx^lkA;r~ z>ua{744($pm;479?g6if(q9RF8~Ob??#j=(;J7@OfyeS=(G&}RJy<(H+6;dUtgn=- zhV|R%Ys44I_dNLYclh~%;{SKBzJBj9|8IaFi{#V7Lc6}69x(Uq!P+gc!0@*T!YINkzFx3)p^${bb?^=rzvBA@ z*nPoh?$?6##eUF-TfxZ@{KjDJ_kmBEkd^;&aQH4v@ofZacM2kQ={*nDSIdaR;opPf z@@)a@t5#IfxsM^Nc4z2)NcrCd9Q)r3tlbwuKMnxvtMT<@SpKJjYXkVP@JE8RJ4ox{ za{mB0j;|xa%KsVQwIj3goddp`%m;aV9IUS>A4P_W?>g}2NI$*;*4N%a-j9Q|69W}< z{(k{pR|jBr;(MFT;3bhhZ2@0Cfi)4_760}-UB0_!r5v*NBTCY=iMpF^m88FfCE@18Y3Hmc0tgoL# zeYIoJE-vL?;pc;6{a*yu*X=Y>=e`WAuS$ddeFPlK;}c+g?R}Tk|Fs2o>7jON1^vGb ztgnP;Tl^1#wF@Z7@26nx7C7D9Ujsi$f6i-%>(94<^~FC?y8Mkr7CqFTcW+Jh25Z+* z(1(M-+KnN1m3IzUU#1UOeoq2d39sM5)PJ20)))83ng2m>T;6lRS^M7n=G6+nSJoeX z1{}-ti(u_Os9Jgtfwc=PwAUxGFPT94n4L)f8L)Q!@ZaV46|i<)wHw|Hj`Q=k0vudQSzqf;*B78`1FR*rs1$i8RT_3=mZ1EonUO;|=JU;{;_u(vjFIYR_=+<5S&Iar2 z{*d2`!6OH<`o0pZ-602<|69Q4j?3hAFSsB1>HSjje*}DTl>Redef1v7^D0=oJVN_X z->6-GLBI9@>nnAdjLY97a4fHx;7~srPYwg$wKz%Ung3(J+N~JMvk3ec?IGyXQt&*$ zO!I#h_`Ik*7lGsS)`GSB4UxJ0d>;FA6n28)uYgxC%gS>HSi8hRdA#1od!N@Ue^B}3DyqZAm8_a z51{=_CqC6*2RM#z1z5Wng8V)S)~=W*Ed5)+(@9H4oBFfzKLC#7dmOCYGClZL zdwvd#M(GdXM<%}lYqwO;?>~UG>mcaAj=Ip!tl+)_SYN--CcNUC3OG1})>re1 z$>VTvoS);t+HDr(eHu6zm8S>1Yil;YYJi`P`m^)F+CdS*Uk#4qzX7aWRg$mrr?K-j z!prPLrtSl4Hv?7X+_kImJLEUCw`alH)iv7k|66d|87{b!_aDK*s6C8AP^<0zQ2E~l zT#xi?FL0c{1HsxgF`r13zuDly$p4Yx^T%cFeIfWX^hH%JeK`%R-Dsy+_&QiSEJJxN z#2%-26hQ_--`S+e(3$+S#TWxzk^qi z|5KcMYqA;q1Mo=0BWRo2wHe|ci#_xwlGncAXIj>{{3!mZ;5h!nz%P(KS#s&01lF#- z4PeQy1FYSO7g>GP!TJ_aM)98q){fLL{#^~u^r1Dm0jyog6Y#J2z5?EaJP?t?cYw89 z@EXJ41#6f7O2dzVwHsBPRU$b+V3&{o52ewWaHV0J^Ze# zh338|Si8oKGyE=aET49;c5{dRX->iYXs~t{uCwr`fwile*Lqi9%fK_q!y3bDz}giU z+&>TA3H_tWo&Mbh)~>dB=KmhBcC*bk{9Uk4UAV>Y55aMMe-8f9URnLU3f4}&Fkb%! ztP=#JAJV7M;~DFY&E|`HgLfau+W-Dw?OuAu{I`MQ^k#!6F#n%t?ni>PTYjA36T$l- zzo0*-g0)*+?M>;I!J{ZYS#ssCgSFE>`2QFcYgaZ=I{DuPUQGTb82&a`Cv?m-{G&qn=fT=t z9{P({!8(y3jQ@WFe~A7_`mg@Eb#KNO@}vGt<=X|U-HAE`TID$q9OrKaSSNnGVd)eX|()yH~)El3&S3<=qU{sV5;nZ-6(@pG-iZmA}>rN%GUZvi7z; z_-EjD7rr&w9jx7*LBEpn>W&oyedWmgoonT# z&VE+8rlS=F!bxO}-dee%yJQiTbkdbM>C~(AEG$kDZksms;OUN0D|f9-IJ>%0Szei3 z>*}1mqN7qJAB8w^DfN}R>x3m|U2W6br_2nNSxK#@ecF`HPJ%5hH~e)%NjeXIQf0;plPmjeUn|w7^5A?6%j5wEj9iGg62jzrg7C)kJk*hgOxkddu7zz72rcRsTGQ*`SB-Pp9-(UxE#{k*4>z%r&?gmnK3eIVRy{dgzmmCi^ z+3i~cgK>4V}t98FAe36CMH}L=Um$*4zjuTEjiC*-VVft;T-;an0fqLBG0>L_F~BtR^)VH_oeQj{a%H2OGvfhm7O zvJ?9s#!=x^SMFF?Bwo%A<@GH(VgyMz(R%rsD_Y2@f8F4!KB(@9$f|yGAEt;rdaYp(umBG9vd7& zri_qg#Au(^PG;(jZhDSVtqv@?OE$dK^OcRs99q!ld&TauE_~}>QPNR}p%UCV%}(Rp zQH!ZNe!OR~-7$(9WH_G>QKk|3BLwq@ELw*v#ZiYth&IkBt(M!SB-|X>&2TqBcg3xJ z$`>bh(yYv?3lxKgvTvbj?zW~s1xM+RpPcAk#7ZZ(v(&SbGbhK#dLp@w?v>VG`|%*c ze$1xBbi=Afs(NC>gzvRo2TuTmxT+M#nWO`0Cwsru5@kDX^~&O@KrwbF}NDD{<| zuZVYl3gu)wauNoIPBOo#E^YKOnAPfKQ>g4P#mW8zMR}!{FV{-CKS{?buIQ*QPX?+A0yaQ%M&3SxK1&g$v9@Vb9+E1#QPbqqH8 zT@-k*u}of7)nTGnUR_~8w9AS}d!WCsFVP?7imnMG{yxrlb=SZpJ^oR34<>iI8%~e5 zsWYZdOLS0&Y||xDW?RfGKr@m7J!Ucy7+isE+EkYpO$5kHn1HA!P~QAmteGw9SkkjB zaYg>>l4)u~b$QL|4=sMJIboDN;57NU8Fy@!~I?m*;vFwm_9Wc!y z`}I^T1eA)GYUoLo0ao&GZM?#1B=l{RjUZv9A_*Fh-K~Mm^*TKL;m=q&BH8za8nXSA ztJY!l7sNpu()2ji=>oLo9ZoJz^*mES0x+fJuh(8IC9apMtmFjQ-pce+c_5FSUX@Cj zhiZALCLuY7rXCqX%9BM>FGMQrA|0qPS?eKvZz&DPI@qZ5SlN?0_Q3LQG(G_Z&t<_F zSr%M^J_~h@D^-0hWzvyU`X#$kX(g`^{k77xS(%-=lcY}H>*h=8Yf9?5owpNuns6_g zsy;d%ok2$(y!H0VaBqiGtkPgr8jR+}A)It97gL>!W<9QsN#Dd_b9yNi6R}#Y+~+6T z;WfiuR+|QPS|n|ZI(v2RZoIHzAZrzsI?b5ZlQO4b+hjkyIz{1mD^%WV^myc9fcLC8 zPqlizk|tl_f~i!l?V-76d~(5KO9ae8&yanpXOhb)`Zv1fX3r;wKr^8mwO zDi!Mj83Hs8h1VkSJ(-pbCJ(IeIy;Ndh{H0sX&wXfHWp}8N zy+*lL(OfW-YFd(ZI##cL%Al((DMIQ#wcWj<`&p3$8Txg~-`D8ZG>ASqyhKWC!YgeW z#dN);cd{tpUax9{bZZugpWIOgT?0&7m{hpQg6kJe?Ly7EI&~rmoUZ;Aw3V{-0l6{V z@V#CpF%9q%{lzUJy|l)OMz%X)uPltu3r%dgI@O?NE3>&}V^XSV{fs zXj%tS^iuuIYFDqzRBxld+UJYc=&1CWcGSw<6$W4}YiLsHTBRETN~>y2A`9vqM65RH zULfdnS2uc@W7w=wlVYYyE@0?U4{^zBuFWuMdCW2&+LYQ(R$f2lPP+_Mc{D!^_2Q;< zwT|>1ozmHf9Et;F|A}2t2Z})juM^xy@ zrVzi?!1NiJy?usj_L|aqU2y9P%9N510dq?_I(@f@RbN49y#}UZh+9$8iS21()&B0X3zwVhXgXZW za;oa~lcwB2m-U=(o}7&Ut_M$r<Pe>mPJHfS>oAV_c4GrFRmC!5 zCVnQqLFrt5`HLWYa+=`9v(%vUQE08|geih=R_X14zJA>L8?~18IF0^>1n;lYpi|Wn zY%WU&rvL}*dh5UNr&~JbIzaxz5}6Or6EM`F=W;0 zN@-yfdp05Rjm?EBmuVc_Ms4j!9h~}a>jJo<(3OmZIBU^n3^84p1>!){aJPAeTH4~*@t{D2OEMi+K_WBp~Y5v_W1S_>4>^jXA z9n1^E>!A&2Dv{*xM@^?o2kt(WGS~1vHuc*(lI zGEL3Tz??sK>tt=U9}e7@;TJWt_N{iHo?k*oNsvpVA+%iAA7mMtHqG@EPNkf(rEStL z0J%O+vj(cpH=tD=T1(Tqdsw;+lkGI~EUQWu%52@)td1Pc^wqT{Eh@2O>bhOu9TyhB z(^#h+9MznEJ$I3-#5S}`=w2X|ftB1e$u(cjlyFQr{u@Rrn_UZ;iABsa9%=}Enhh7`2dCF>TI z-10D)>SWBLYFP#G`W-SD)}l1z+wdvjIDMpfs!xok_r6;Uf&H6FG$L!p?-o3Kqg714 zrJJ9qjQ(xDq+Wl(HU2OgBNzEu^OR_wAHN;@9J{jh)XJLVm^2RYW1=fi%4|>T#P?X1 zqtK7j`dQLR$KwXeBB7Iv)G_T3b2-c}Y`aTVqP$hA%3_wgcD-R+PFX3DELC0pqTZ;t znl>|<#<|xjdG*c0U8ELt)xAZhQ@1Sg_Csi=dJU%!_T!2)(_TdxqP5PXDTgn5{+Eu_ z7a?d1QBw;IPrX~{l6WhR`W~lpK}CG^vz#pPmbALXeU+ib)CQxMw&~1u%5KIVoaxJX zjm;VpueMGvnE}&N;oF7`X`LFS^+RFwOr<<4Kd2S-BAV>mk3>njh!$R_Sj|k;LATE^ z-yY=kI!$5vtf>61EA4X)+^v>K6w0D*SFu;#lNaa*KU*>@@ncs`ucZzJZFMr$01)Lv zveF_~`l_pHuo*&-L6&R1kah5Ti$*j$fzSavor+t2ojZs0B2i=6%#Dar1!S#77vDtf zX0f#JEdGVscGY7o%W@)}%gwBuDrbRNnnpfMfNXe=a;!B`O2^uc%e5|=v9w)!?hIg? zB05FS>SIPUZ)T|uJ=ObVHg#9Wnp? z$?;3NS(c{rzNu9v8({no#qNbX{qb0O@A4ygIZIe8b26fb_fzjMTdb#d?`X=O-N}{w EUv#Xr-2eap From f06bd06b791a3e17c54248e2dec664ee909cf520 Mon Sep 17 00:00:00 2001 From: Thomas Weber Date: Mon, 2 Feb 2015 22:08:35 +0100 Subject: [PATCH 05/10] corrected line - #if UIP_CONF_IPV6 - in contiki-rcb-main.c to - #if NETSTACK_CONF_WITH_IPV6 - --- platform/avr-rcb/contiki-rcb-main.c | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/platform/avr-rcb/contiki-rcb-main.c b/platform/avr-rcb/contiki-rcb-main.c index ff43146b4..bc7a08602 100644 --- a/platform/avr-rcb/contiki-rcb-main.c +++ b/platform/avr-rcb/contiki-rcb-main.c @@ -124,7 +124,7 @@ PROCESS_THREAD(rcb_leds, ev, data) while(1) { PROCESS_YIELD(); -#if UIP_CONF_IPV6 +#if NETSTACK_CONF_WITH_IPV6 if (ev == ICMP6_ECHO_REQUEST) { #else if (1) { From a0ac6bceb6671e117245ceaef8b1919a274dcf9d Mon Sep 17 00:00:00 2001 From: Michael Richardson Date: Tue, 3 Feb 2015 11:03:07 -0500 Subject: [PATCH 06/10] added some documentation of native-border-router and slip-radio relationship --- examples/ipv6/native-border-router/README.md | 21 ++++++++++++++++++++ examples/ipv6/slip-radio/README.md | 4 ++++ 2 files changed, 25 insertions(+) create mode 100644 examples/ipv6/native-border-router/README.md create mode 100644 examples/ipv6/slip-radio/README.md diff --git a/examples/ipv6/native-border-router/README.md b/examples/ipv6/native-border-router/README.md new file mode 100644 index 000000000..e7aeb25bc --- /dev/null +++ b/examples/ipv6/native-border-router/README.md @@ -0,0 +1,21 @@ +The native border router connects a TTY with an ethernet TUN device +via the RPL protocol. This works with the ../slip-radio example to permit +a Linux host to have a 802.15.4 radio connected via a serial interface. +What's on the SLIP interface is really not Serial Line IP, but SLIP framed +15.4 packets. + +The border router supports a number of commands on it's stdin. +Each are prefixed by !: + !G - global RPL repair root. + !M - set MAC address (if coming from RADIO, i.e. SLIP link) + !C - show channel (if coming from RADIO, i.e. SLIP link) + !D - sensor data received + !Q - exit + +Queries are prefixed by ?: + ?M - just a test + ?C - writes stuff to SLIP port! + ?S - shows stats for SLIP port. + + + diff --git a/examples/ipv6/slip-radio/README.md b/examples/ipv6/slip-radio/README.md new file mode 100644 index 000000000..17bb364c7 --- /dev/null +++ b/examples/ipv6/slip-radio/README.md @@ -0,0 +1,4 @@ +This project is intended to run on a mode that is connected to a native host system +by SLIP. The SLIP is really SERIAL LINE 15.4, as this just turns the mote into a smart +radio, running the RPL and 6lowpan stack on the Host. This goes with native-border-router. + From e7fc14c7a185802a144f33399fa4d7c4acb34e9d Mon Sep 17 00:00:00 2001 From: Joakim Eriksson Date: Fri, 6 Feb 2015 09:22:16 +0100 Subject: [PATCH 07/10] fixed comments --- core/net/rpl/rpl-dag.c | 3 +-- core/net/rpl/rpl-mrhof.c | 2 +- 2 files changed, 2 insertions(+), 3 deletions(-) diff --git a/core/net/rpl/rpl-dag.c b/core/net/rpl/rpl-dag.c index 003432852..c248db0e8 100644 --- a/core/net/rpl/rpl-dag.c +++ b/core/net/rpl/rpl-dag.c @@ -90,7 +90,6 @@ rpl_get_nbr(rpl_parent_t *parent) if(lladdr != NULL) { return nbr_table_get_from_lladdr(ds6_neighbors, lladdr); } else { - /* do nothing... can not update ETX Since there is no nbr struct */ return NULL; } } @@ -591,7 +590,7 @@ rpl_add_parent(rpl_dag_t *dag, rpl_dio_t *dio, uip_ipaddr_t *addr) p->rank = dio->rank; p->dtsn = dio->dtsn; - /* check if we have a nbr and if we have no prev link_metric value */ + /* Check whether we have a neighbor that has not gotten a link metric yet */ if(nbr != NULL && nbr->link_metric == 0) { nbr->link_metric = RPL_INIT_LINK_METRIC * RPL_DAG_MC_ETX_DIVISOR; } diff --git a/core/net/rpl/rpl-mrhof.c b/core/net/rpl/rpl-mrhof.c index 9a4b76333..47314917f 100644 --- a/core/net/rpl/rpl-mrhof.c +++ b/core/net/rpl/rpl-mrhof.c @@ -127,7 +127,7 @@ neighbor_link_callback(rpl_parent_t *p, int status, int numtx) nbr = rpl_get_nbr(p); if(nbr == NULL) { - /* No neighbor for this parent - something bad has occured */ + /* No neighbor for this parent - something bad has occurred */ return; } From 6edccf35a644599b3127ac704c06099fd1b009f8 Mon Sep 17 00:00:00 2001 From: Joakim Eriksson Date: Fri, 24 Oct 2014 15:13:27 +0200 Subject: [PATCH 08/10] fixed so that trickle timer rpl config is updated at global repair --- core/net/rpl/rpl-dag.c | 8 ++++++++ 1 file changed, 8 insertions(+) diff --git a/core/net/rpl/rpl-dag.c b/core/net/rpl/rpl-dag.c index eb92e2f10..287246c7e 100644 --- a/core/net/rpl/rpl-dag.c +++ b/core/net/rpl/rpl-dag.c @@ -1037,6 +1037,14 @@ global_repair(uip_ipaddr_t *from, rpl_dag_t *dag, rpl_dio_t *dio) remove_parents(dag, 0); dag->version = dio->version; + + /* copy parts of the configuration so that it propagates in the network */ + dag->instance->dio_intdoubl = dio->dag_intdoubl; + dag->instance->dio_intmin = dio->dag_intmin; + dag->instance->dio_redundancy = dio->dag_redund; + dag->instance->default_lifetime = dio->default_lifetime; + dag->instance->lifetime_unit = dio->lifetime_unit; + dag->instance->of->reset(dag); dag->min_rank = INFINITE_RANK; RPL_LOLLIPOP_INCREMENT(dag->instance->dtsn_out); From 3aa8a62e41cadde2503c13090e2eed268c142d47 Mon Sep 17 00:00:00 2001 From: Joakim Eriksson Date: Sun, 19 Oct 2014 20:48:10 +0200 Subject: [PATCH 09/10] avoid updating routes that already are correct --- core/net/ipv6/uip-ds6-route.c | 7 +++++++ 1 file changed, 7 insertions(+) diff --git a/core/net/ipv6/uip-ds6-route.c b/core/net/ipv6/uip-ds6-route.c index ecb7d354b..73a4ce9fa 100644 --- a/core/net/ipv6/uip-ds6-route.c +++ b/core/net/ipv6/uip-ds6-route.c @@ -269,9 +269,16 @@ uip_ds6_route_add(uip_ipaddr_t *ipaddr, uint8_t length, one first. */ r = uip_ds6_route_lookup(ipaddr); if(r != NULL) { + uip_ipaddr_t *current_nexthop; + current_nexthop = uip_ds6_route_nexthop(r); + if(uip_ipaddr_cmp(nexthop, current_nexthop)) { + /* no need to update route - already correct! */ + return r; + } PRINTF("uip_ds6_route_add: old route for "); PRINT6ADDR(ipaddr); PRINTF(" found, deleting it\n"); + uip_ds6_route_rm(r); } { From 5699127c9ad7fc967b3c25bef1a45836614b9310 Mon Sep 17 00:00:00 2001 From: Michael Richardson Date: Sat, 7 Feb 2015 10:49:51 -0500 Subject: [PATCH 10/10] additional edits to further clarify interface between native-border-router and slip-radio --- examples/ipv6/native-border-router/README.md | 33 ++++++++++++-------- examples/ipv6/slip-radio/README.md | 2 ++ 2 files changed, 22 insertions(+), 13 deletions(-) diff --git a/examples/ipv6/native-border-router/README.md b/examples/ipv6/native-border-router/README.md index e7aeb25bc..03174972f 100644 --- a/examples/ipv6/native-border-router/README.md +++ b/examples/ipv6/native-border-router/README.md @@ -1,21 +1,28 @@ -The native border router connects a TTY with an ethernet TUN device -via the RPL protocol. This works with the ../slip-radio example to permit -a Linux host to have a 802.15.4 radio connected via a serial interface. -What's on the SLIP interface is really not Serial Line IP, but SLIP framed -15.4 packets. +This code connects a 802.15.4 radio over TTY with the full uIPv6 stack of +Contiki including 6LoWPAN and 802.15.4 framing / parsing. The native border +router also acts as a RPL Root and handles the routing and maintains the RPL +network. Finally the native border router connects the full 6LoWPAN/RPL +network to the host (linux/os-x) network stack making it possible for +applications on the host to transparently reach all the nodes in the +6LoWPAN/RPL network. + +This is designed to interact with the a ../slip-radio example running on a +mote that is either directly USB/TTY connected, or is remote via a TCP +connect. What's on the SLIP interface is really not Serial Line IP, but SLIP +framed 15.4 packets. The border router supports a number of commands on it's stdin. Each are prefixed by !: - !G - global RPL repair root. - !M - set MAC address (if coming from RADIO, i.e. SLIP link) - !C - show channel (if coming from RADIO, i.e. SLIP link) - !D - sensor data received - !Q - exit +* !G - global RPL repair root. +* !M - set MAC address (if coming from RADIO, i.e. SLIP link) +* !C - show channel (if coming from RADIO, i.e. SLIP link) +* !D - sensor data received +* !Q - exit Queries are prefixed by ?: - ?M - just a test - ?C - writes stuff to SLIP port! - ?S - shows stats for SLIP port. +* ?M is used for requesting the MAC address from the radio in order to use it for uIP6 and its stateless address auto configuration of its IPv6 address. This will make the native border router have the address that correspond to the MAC address of the slip-radio. (response is !M from the slip-radio) +* ?C is used for requesting the currently used channel for the slip-radio. The response is !C with a channel number (from the slip-radio). +* !C is used for setting the channel of the slip-radio (useful if the motes are using another channel than the one used in the slip-radio). diff --git a/examples/ipv6/slip-radio/README.md b/examples/ipv6/slip-radio/README.md index 17bb364c7..5e3e0a5f6 100644 --- a/examples/ipv6/slip-radio/README.md +++ b/examples/ipv6/slip-radio/README.md @@ -2,3 +2,5 @@ This project is intended to run on a mode that is connected to a native host sys by SLIP. The SLIP is really SERIAL LINE 15.4, as this just turns the mote into a smart radio, running the RPL and 6lowpan stack on the Host. This goes with native-border-router. + +